Skip to content

Commit

Permalink
testsuite/gna: add a test for ghdl#2584
Browse files Browse the repository at this point in the history
Close ghdl#2584
  • Loading branch information
tgingold committed Jan 12, 2024
1 parent 6b8a350 commit 2567add
Show file tree
Hide file tree
Showing 2 changed files with 75 additions and 0 deletions.
61 changes: 61 additions & 0 deletions testsuite/gna/issue2584/streamtb.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,61 @@
library ieee;
use ieee.std_logic_1164.all;

entity StreamRegSlice is
generic (
type DAT
);
port (
rxcDat_i : in DAT;
txcDat_o : out DAT
);
end StreamRegSlice;

architecture rtl of StreamRegSlice is
begin
txcDat_o <= rxcDat_i;
end rtl;


use std.env.all;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity StreamTb is
generic (
WIDTH : positive := 8
);
end StreamTb;

architecture behavior of StreamTb is
subtype DAT is std_logic_vector(WIDTH-1 downto 0);
signal txcDat : DAT;
signal rxcDat : DAT;
begin

-- DUT instance
dut : entity work.StreamRegSlice
generic map (
DAT => DAT
)
port map (
rxcDat_i => txcDat,
txcDat_o => rxcDat
);
-- rxcDat <= txcDat;

p_test : process
begin
-- end simulation
for i in 0 to 8-1 loop
txcDat <= std_logic_vector(to_unsigned(i, WIDTH));
wait for 10 ns;
end loop;
report "Simulation end";
finish;
end process p_test;

end behavior;

14 changes: 14 additions & 0 deletions testsuite/gna/issue2584/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,14 @@
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=08

if ghdl_is_preelaboration; then
analyze streamtb.vhdl
elab_simulate streamtb
clean
fi


echo "Test successful"

0 comments on commit 2567add

Please sign in to comment.