Skip to content

Commit

Permalink
paddle trigger fix (#12)
Browse files Browse the repository at this point in the history
  • Loading branch information
vossstef authored Nov 16, 2024
1 parent 8f60a30 commit 19b2c93
Show file tree
Hide file tree
Showing 6 changed files with 10 additions and 21 deletions.
11 changes: 0 additions & 11 deletions src/A2601top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -137,7 +137,6 @@ signal e0_bank: std_logic_vector(2 downto 0);
signal e0_bank0: std_logic_vector(2 downto 0) := "000";
signal e0_bank1: std_logic_vector(2 downto 0) := "000";
signal e0_bank2: std_logic_vector(2 downto 0) := "000";
signal sb_bank: std_logic_vector(6 downto 0) := "0000000";

signal FE_latch: std_logic := '0';

Expand Down Expand Up @@ -391,13 +390,7 @@ rom_a <= "000" & e0_bank & cpu_a(9 downto 0) when bss = BANKE0 else
"00" & e7_bank0 & cpu_a(10 downto 0) when bss = BANKE7 and cpu_a(12 downto 11) = "10" else
"00111" & cpu_a(10 downto 0) when bss = BANKE7 and (cpu_a(12 downto 11) = "11" or cpu_a(12 downto 10) = "101") else
banks32 & cpu_a(10 downto 0) when bss = BANK32 else
sb_bank(3 downto 0) & cpu_a(11 downto 0) when bss = BANKSB else --restricted !!!!
bank(3 downto 0) & cpu_a(11 downto 0);
-- sb
-- 128K-256K ROM
-- There are either 32 or 64 4K banks, accessible at hotspots $800 - $81F (32 banks)
-- and $800 - $83F (64 banks).
-- All mirrors up to $FFF are also used ($900, $A00, ...).

bankswch: process(clk)
variable w_index_v :integer;
Expand All @@ -409,7 +402,6 @@ begin
old_rst <= rst;
clr_a <= clr_a + 1;
if (rst = '1') then
sb_bank <= "0000000";
bank <= "0000";
last_1FF0 <= '0';
e0_bank0 <= "000";
Expand Down Expand Up @@ -583,9 +575,6 @@ begin
when BANKAR =>
when BANK3E =>
when BANKSB =>
if cpu_a(12 downto 11) = "01" then
sb_bank <= cpu_a(6 downto 0);
end if;
when BANKWD =>
when BANKEF =>
when BANKDPCP =>
Expand Down
4 changes: 2 additions & 2 deletions src/a2600_top_tm138k_pro.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -776,10 +776,10 @@ a2601_inst: entity work.A2601top
p_1 => not joy_p1(5), -- BTN_B
paddle_1 => p1,

p_2 => not joy_p2(5), -- BTN_B
p_2 => not joy_p1(6), -- BTN_X
paddle_2 => p2,

p_3 => not joy_p1(6), -- BTN_X
p_3 => not joy_p2(5), -- BTN_B
paddle_3 => p3,

p_4 => not joy_p2(6), -- BTN_X
Expand Down
4 changes: 2 additions & 2 deletions src/a2600_top_tm60k.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -778,10 +778,10 @@ a2601_inst: entity work.A2601top
p_1 => not joy_p1(5), -- BTN_B
paddle_1 => p1,

p_2 => not joy_p2(5), -- BTN_B
p_2 => not joy_p1(6), -- BTN_X
paddle_2 => p2,

p_3 => not joy_p1(6), -- BTN_X
p_3 => not joy_p2(5), -- BTN_B
paddle_3 => p3,

p_4 => not joy_p2(6), -- BTN_X
Expand Down
4 changes: 2 additions & 2 deletions src/a2600_top_tn20k.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -821,10 +821,10 @@ a2601_inst: entity work.A2601top
p_1 => not joy_p1(5), -- BTN_B
paddle_1 => p1,

p_2 => not joy_p2(5), -- BTN_B
p_2 => not joy_p1(6), -- BTN_X
paddle_2 => p2,

p_3 => not joy_p1(6), -- BTN_X
p_3 => not joy_p2(5), -- BTN_B
paddle_3 => p3,

p_4 => not joy_p2(6), -- BTN_X
Expand Down
4 changes: 2 additions & 2 deletions src/a2600_top_tp20k.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -876,10 +876,10 @@ a2601_inst: entity work.A2601top
p_1 => not joy_p1(5), -- BTN_B
paddle_1 => p1,

p_2 => not joy_p2(5), -- BTN_B
p_2 => not joy_p1(6), -- BTN_X
paddle_2 => p2,

p_3 => not joy_p1(6), -- BTN_X
p_3 => not joy_p2(5), -- BTN_B
paddle_3 => p3,

p_4 => not joy_p2(6), -- BTN_X
Expand Down
4 changes: 2 additions & 2 deletions src/a2600_top_tp25k.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -639,10 +639,10 @@ a2601_inst: entity work.A2601top
p_1 => not joy_p1(5), -- BTN_B
paddle_1 => p1,

p_2 => not joy_p2(5), -- BTN_B
p_2 => not joy_p1(6), -- BTN_X
paddle_2 => p2,

p_3 => not joy_p1(6), -- BTN_X
p_3 => not joy_p2(5), -- BTN_B
paddle_3 => p3,

p_4 => not joy_p2(6), -- BTN_X
Expand Down

0 comments on commit 19b2c93

Please sign in to comment.