Skip to content

Commit

Permalink
testsuite/gna: add a test for ghdl#1602
Browse files Browse the repository at this point in the history
  • Loading branch information
tgingold committed Feb 6, 2024
1 parent 80832c4 commit 0c7ff18
Show file tree
Hide file tree
Showing 3 changed files with 45 additions and 0 deletions.
13 changes: 13 additions & 0 deletions testsuite/gna/issue1602/repro1.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,13 @@
entity repro1 is
generic (c : bit_vector);
end entity;

architecture behaviour of repro1 is

signal s : bit_vector(3 downto 0);

begin

c <= s;

end architecture;
21 changes: 21 additions & 0 deletions testsuite/gna/issue1602/shadow.vhdl
Original file line number Diff line number Diff line change
@@ -0,0 +1,21 @@
entity shadow is
end entity;

architecture behaviour of shadow is

signal s : bit_vector(3 downto 0);

begin

process

procedure example (s : in bit_vector) is
begin
s <= s;
end procedure;

begin
wait;
end process;

end architecture;
11 changes: 11 additions & 0 deletions testsuite/gna/issue1602/testsuite.sh
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
#! /bin/sh

. ../../testenv.sh

export GHDL_STD_FLAGS=--std=93
analyze_failure shadow.vhdl
analyze_failure repro1.vhdl

clean

echo "Test successful"

0 comments on commit 0c7ff18

Please sign in to comment.