Skip to content

Commit

Permalink
Tests - Add test for large preset values, regenerate testfiles
Browse files Browse the repository at this point in the history
  • Loading branch information
stefanlippuner committed Nov 21, 2023
1 parent 2e2e009 commit 04ecb13
Show file tree
Hide file tree
Showing 10 changed files with 32 additions and 2 deletions.
3 changes: 3 additions & 0 deletions testfiles/bug-consts/blkpfx-consts.vhdl
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package blkpfx_Consts is
constant BLKPFX_SIZE : Natural := 4;
constant ADDR_BLKPFX_REG1 : Natural := 16#0#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/bug-consts/blkpfx-consts.vhdl-ohwr
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package blkpfx_consts_pkg is
constant c_BLKPFX_SIZE : Natural := 4;
constant c_BLKPFX_REG1_ADDR : Natural := 16#0#;
Expand Down
5 changes: 4 additions & 1 deletion testfiles/demo_all-consts.vhdl
Original file line number Diff line number Diff line change
@@ -1,11 +1,14 @@
library ieee;
use ieee.std_logic_1164.all;

package demo_all_Consts is
constant DEMO_ALL_SIZE : Natural := 8448;
constant ADDR_DEMO_ALL_REG0 : Natural := 16#0#;
constant DEMO_ALL_REG0_FIELD00_OFFSET : Natural := 1;
constant DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4;
constant DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8;
constant ADDR_DEMO_ALL_REG1 : Natural := 16#4#;
constant DEMO_ALL_REG1_PRESET : Natural := 16#123#;
constant DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123";
constant ADDR_DEMO_ALL_REG2 : Natural := 16#8#;
constant DEMO_ALL_REG2_FIELD10_OFFSET : Natural := 0;
constant DEMO_ALL_REG2_FIELD11_OFFSET : Natural := 16;
Expand Down
5 changes: 4 additions & 1 deletion testfiles/demo_all-consts.vhdl-ohwr
Original file line number Diff line number Diff line change
@@ -1,11 +1,14 @@
library ieee;
use ieee.std_logic_1164.all;

package demo_all_consts_pkg is
constant c_DEMO_ALL_SIZE : Natural := 8448;
constant c_DEMO_ALL_REG0_ADDR : Natural := 16#0#;
constant c_DEMO_ALL_REG0_FIELD00_OFFSET : Natural := 1;
constant c_DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4;
constant c_DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8;
constant c_DEMO_ALL_REG1_ADDR : Natural := 16#4#;
constant c_DEMO_ALL_REG1_PRESET : Natural := 16#123#;
constant DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123";
constant c_DEMO_ALL_REG2_ADDR : Natural := 16#8#;
constant c_DEMO_ALL_REG2_FIELD10_OFFSET : Natural := 0;
constant c_DEMO_ALL_REG2_FIELD11_OFFSET : Natural := 16;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/features/mapinfo1-consts.vhdl
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package semver1_Consts is
constant SEMVER1_SIZE : Natural := 4;
constant SEMVER1_MEMMAP_VERSION : Natural := 16#10000#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/features/mapinfo1-consts.vhdl-ohwr
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package semver1_consts_pkg is
constant c_SEMVER1_SIZE : Natural := 4;
constant c_SEMVER1_MEMMAP_VERSION : Natural := 16#10000#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/features/semver1-consts.vhdl
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package semver1_Consts is
constant SEMVER1_SIZE : Natural := 4;
constant SEMVER1_VERSION : Natural := 16#10000#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/features/semver1-consts.vhdl-ohwr
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package semver1_consts_pkg is
constant c_SEMVER1_SIZE : Natural := 4;
constant c_SEMVER1_VERSION : Natural := 16#10000#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/issue64/simple_reg1-consts.vhdl
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package sreg_map_Consts is
constant SREG_SIZE : Natural := 4;
constant ADDR_SREG_AREG : Natural := 16#0#;
Expand Down
3 changes: 3 additions & 0 deletions testfiles/issue64/simple_reg1-consts.vhdl-ohwr
Original file line number Diff line number Diff line change
@@ -1,3 +1,6 @@
library ieee;
use ieee.std_logic_1164.all;

package sreg_map_consts_pkg is
constant c_SREG_SIZE : Natural := 4;
constant c_SREG_AREG_ADDR : Natural := 16#0#;
Expand Down

0 comments on commit 04ecb13

Please sign in to comment.