Skip to content

Commit

Permalink
feat: add utilities to namespace
Browse files Browse the repository at this point in the history
  • Loading branch information
kgryte committed Jul 4, 2024
1 parent defe484 commit 48703d8
Showing 1 changed file with 108 additions and 0 deletions.
108 changes: 108 additions & 0 deletions lib/node_modules/@stdlib/blas/base/lib/index.js
Original file line number Diff line number Diff line change
Expand Up @@ -117,6 +117,42 @@ setReadOnly( blas, 'dcopy', require( '@stdlib/blas/base/dcopy' ) );
*/
setReadOnly( blas, 'ddot', require( '@stdlib/blas/base/ddot' ) );

/**
* @name diagonalTypeEnum2Str
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/diagonal-type-enum2str}
*/
setReadOnly( blas, 'diagonalTypeEnum2Str', require( '@stdlib/blas/base/diagonal-type-enum2str' ) );

/**
* @name diagonalTypeResolveEnum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/diagonal-type-resolve-enum}
*/
setReadOnly( blas, 'diagonalTypeResolveEnum', require( '@stdlib/blas/base/diagonal-type-resolve-enum' ) );

/**
* @name diagonalTypeResolveStr
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/diagonal-type-resolve-str}
*/
setReadOnly( blas, 'diagonalTypeResolveStr', require( '@stdlib/blas/base/diagonal-type-resolve-str' ) );

/**
* @name diagonalTypeStr2Enum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/diagonal-type-str2enum}
*/
setReadOnly( blas, 'diagonalTypeStr2Enum', require( '@stdlib/blas/base/diagonal-type-str2enum' ) );

/**
* @name diagonalTypes
* @memberof blas
Expand Down Expand Up @@ -297,6 +333,42 @@ setReadOnly( blas, 'layoutStr2Enum', require( '@stdlib/blas/base/layout-str2enum
*/
setReadOnly( blas, 'layouts', require( '@stdlib/blas/base/layouts' ) );

/**
* @name matrixTriangleEnum2Str
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/matrix-triangle-enum2str}
*/
setReadOnly( blas, 'matrixTriangleEnum2Str', require( '@stdlib/blas/base/matrix-triangle-enum2str' ) );

/**
* @name matrixTriangleResolveEnum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/matrix-triangle-resolve-enum}
*/
setReadOnly( blas, 'matrixTriangleResolveEnum', require( '@stdlib/blas/base/matrix-triangle-resolve-enum' ) );

/**
* @name matrixTriangleResolveStr
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/matrix-triangle-resolve-str}
*/
setReadOnly( blas, 'matrixTriangleResolveStr', require( '@stdlib/blas/base/matrix-triangle-resolve-str' ) );

/**
* @name matrixTriangleStr2Enum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/matrix-triangle-str2enum}
*/
setReadOnly( blas, 'matrixTriangleStr2Enum', require( '@stdlib/blas/base/matrix-triangle-str2enum' ) );

/**
* @name matrixTriangles
* @memberof blas
Expand All @@ -306,6 +378,42 @@ setReadOnly( blas, 'layouts', require( '@stdlib/blas/base/layouts' ) );
*/
setReadOnly( blas, 'matrixTriangles', require( '@stdlib/blas/base/matrix-triangles' ) );

/**
* @name operationSideEnum2Str
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/operation-side-enum2str}
*/
setReadOnly( blas, 'operationSideEnum2Str', require( '@stdlib/blas/base/operation-side-enum2str' ) );

/**
* @name operationSideResolveEnum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/operation-side-resolve-enum}
*/
setReadOnly( blas, 'operationSideResolveEnum', require( '@stdlib/blas/base/operation-side-resolve-enum' ) );

/**
* @name operationSideResolveStr
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/operation-side-resolve-str}
*/
setReadOnly( blas, 'operationSideResolveStr', require( '@stdlib/blas/base/operation-side-resolve-str' ) );

/**
* @name operationSideStr2Enum
* @memberof blas
* @readonly
* @type {Function}
* @see {@link module:@stdlib/blas/base/operation-side-str2enum}
*/
setReadOnly( blas, 'operationSideStr2Enum', require( '@stdlib/blas/base/operation-side-str2enum' ) );

/**
* @name operationSides
* @memberof blas
Expand Down

1 comment on commit 48703d8

@stdlib-bot
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Coverage Report

Package Statements Branches Functions Lines
blas/base $\color{green}582/582$
$\color{green}+100.00\%$
$\color{green}1/1$
$\color{green}+100.00\%$
$\color{green}0/0$
$\color{green}+100.00\%$
$\color{green}582/582$
$\color{green}+100.00\%$

The above coverage report was generated for the changes in this push.

Please sign in to comment.