Skip to content

Commit

Permalink
添加工程文件 #11
Browse files Browse the repository at this point in the history
  • Loading branch information
developfpga committed Apr 8, 2019
1 parent 5e153c0 commit 498c3d4
Show file tree
Hide file tree
Showing 3 changed files with 683 additions and 0 deletions.
29 changes: 29 additions & 0 deletions gtx/xm_v1_0/syn/constrs/constraints.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,29 @@

########## LED CONSTRAINTS FOR the MIZ7035 BOARD ##########
#LD4
set_property PACKAGE_PIN B9 [get_ports {led1_o}]
##LD3
set_property PACKAGE_PIN K10 [get_ports {led2_o}]
##LD2
#set_property PACKAGE_PIN H11 [get_ports {led[2]}]
##LD1
#set_property PACKAGE_PIN G9 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS15 [get_ports {led*}]

set_property PACKAGE_PIN T4 [get_ports gthrxp_i[0]]
set_property PACKAGE_PIN V4 [get_ports gthrxp_i[1]]



########## GTX CONSTRAINTS FOR the MIZ7035 BOARD ##########
set_property PACKAGE_PIN R5 [get_ports refclk_n_i]
set_property PACKAGE_PIN R6 [get_ports refclk_p_i]
create_clock -period 6.400 -name gtx_ref_clk [get_ports refclk_p_i]

########## --------- SFP ------------##########
set_property PACKAGE_PIN G10 [get_ports tx_disable_o[0]]
set_property PACKAGE_PIN D10 [get_ports {tx_disable_o[1]}]
#set_property PACKAGE_PIN A14 [get_ports {tx_disable_o[2]}]
#set_property PACKAGE_PIN D13 [get_ports {tx_disable_o[3]}]

set_property IOSTANDARD LVCMOS18 [get_ports tx_disable_o[*]]
Loading

0 comments on commit 498c3d4

Please sign in to comment.