Skip to content

Commit

Permalink
Bump to Chisel 5.0.0
Browse files Browse the repository at this point in the history
Signed-off-by: Schuyler Eldridge <[email protected]>
  • Loading branch information
seldridge committed Aug 10, 2023
1 parent 048ae94 commit b849d5d
Show file tree
Hide file tree
Showing 2 changed files with 8 additions and 7 deletions.
9 changes: 5 additions & 4 deletions build.sbt
Original file line number Diff line number Diff line change
Expand Up @@ -4,22 +4,23 @@ ThisBuild / scalaVersion := "2.13.8"
ThisBuild / version := "0.1.0"
ThisBuild / organization := "%ORGANIZATION%"

val chiselVersion = "3.5.4"
val chiselVersion = "5.0.0"

lazy val root = (project in file("."))
.settings(
name := "%NAME%",
libraryDependencies ++= Seq(
"edu.berkeley.cs" %% "chisel3" % chiselVersion,
"edu.berkeley.cs" %% "chiseltest" % "0.5.4" % "test"
"org.chipsalliance" %% "chisel" % chiselVersion,
"edu.berkeley.cs" %% "chiseltest" % "5.0.0" % "test"
),
scalacOptions ++= Seq(
"-language:reflectiveCalls",
"-deprecation",
"-feature",
"-Xcheckinit",
"-Ymacro-annotations",
"-P:chiselplugin:genBundleElements",
),
addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % chiselVersion cross CrossVersion.full),
addCompilerPlugin("org.chipsalliance" % "chisel-plugin" % chiselVersion cross CrossVersion.full),
)

6 changes: 3 additions & 3 deletions build.sc
Original file line number Diff line number Diff line change
Expand Up @@ -18,14 +18,14 @@ object %NAME% extends SbtModule { m =>
"-P:chiselplugin:genBundleElements"
)
override def ivyDeps = Agg(
ivy"edu.berkeley.cs::chisel3:3.5.4",
ivy"org.chipsalliance::chisel:5.0.0",
)
override def scalacPluginIvyDeps = Agg(
ivy"edu.berkeley.cs:::chisel3-plugin:3.5.4",
ivy"org.chipsalliance:::chisel-plugin:5.0.0",
)
object test extends Tests with ScalaTest {
override def ivyDeps = m.ivyDeps() ++ Agg(
ivy"edu.berkeley.cs::chiseltest:0.5.4"
ivy"edu.berkeley.cs::chiseltest:5.0.0"
)
}
}

0 comments on commit b849d5d

Please sign in to comment.