Skip to content

Commit

Permalink
Update CREDITS.md
Browse files Browse the repository at this point in the history
  • Loading branch information
mattvenn authored May 2, 2024
1 parent 8eddcad commit 87b7b5b
Showing 1 changed file with 3 additions and 1 deletion.
4 changes: 3 additions & 1 deletion docs/CREDITS.md
Original file line number Diff line number Diff line change
Expand Up @@ -7,15 +7,17 @@
Tiny Tapeout would not be possible without a lot of people helping. We would especially like to thank:

* Uri Shaked for [wokwi](https://wokwi.com/) development and lots more
* [Patrick Deegan](https://psychogenic.com/) for PCBs, software, documentation and lots more
* [Sylvain Munaut](https://twitter.com/tnt) for help with scan chain improvements
* [Mike Thompson](https://www.linkedin.com/in/michael-thompson-0a581a/) and [Mitch Bailey](https://www.linkedin.com/in/mitch-bailey-8ba0b45/) for verification expertise
* [Tim Edwards](https://www.linkedin.com/in/tim-edwards-4376a18/) and [Harald Pretl](https://www.linkedin.com/in/harald-pretl-4911ba10/) for ASIC expertise
* [Jix](https://twitter.com/jix_) for formal verification support
* [Proppy](https://twitter.com/proppy) for help with GitHub actions
* [Maximo Balestrini](https://twitter.com/maxiborga) for all the amazing renders and the interactive GDS viewer
* James Rosenthal for coming up with digital design examples
* All the people who took part in [TinyTapeout 01](/runs/tt01) and volunteered time to improve docs and test the flow
* The team at [YosysHQ](https://www.yosyshq.com/) and all the other open source EDA tool makers
* [Efabless](https://efabless.com/) for running the shuttles and providing OpenLane and sponsorship
* Jeff and the [Efabless Team](https://efabless.com/) for running the shuttles and providing OpenLane and sponsorship
* [Tim Ansell and Google](https://www.youtube.com/watch?v=EczW2IWdnOM) for supporting the open source silicon movement
* [Zero to ASIC course](https://zerotoasiccourse.com/) community for all your support
* Jeremy Birch for help with STA

0 comments on commit 87b7b5b

Please sign in to comment.