Skip to content

Commit

Permalink
Final recipes for compressors 2-4 (#974)
Browse files Browse the repository at this point in the history
Co-authored-by: Martin Robertz <[email protected]>
  • Loading branch information
FourIsTheNumber and Dream-Master authored Sep 8, 2024
1 parent 34a7aba commit f0dd4c8
Show file tree
Hide file tree
Showing 10 changed files with 351 additions and 82 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -746,6 +746,13 @@ public void run() {
GGMaterial.incoloy903.get(OrePrefixes.pipeMedium), 'F',
GGMaterial.incoloy903.get(OrePrefixes.gearGt) });

// Neutronium Stabilization Casing
GTModHandler.addCraftingRecipe(
ItemList.Neutronium_Stable_Casing.get(1),
bits,
new Object[] { "PQP", "QFQ", "PQP", 'P', ItemList.Casing_Coil_NaquadahAlloy.get(1), 'Q',
ItemList.Field_Generator_ZPM.get(1), 'F', ItemList.Casing_MAX.get(1) });

// Industrial Precision Lathe
GTModHandler.addCraftingRecipe(
ItemList.Machine_Multi_Lathe.get(1),
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -33,6 +33,7 @@
import com.dreammaster.gthandler.recipes.MaceratorRecipes;
import com.dreammaster.gthandler.recipes.MixerRecipes;
import com.dreammaster.gthandler.recipes.NeutroniumCompressorRecipes;
import com.dreammaster.gthandler.recipes.PolarizerRecipes;
import com.dreammaster.gthandler.recipes.RecipeRemoval;
import com.dreammaster.gthandler.recipes.SifterRecipes;
import com.dreammaster.gthandler.recipes.SpaceAssemblerRecipes;
Expand Down Expand Up @@ -84,6 +85,7 @@ public void run() {
new CrackingRecipes().run();
new SpaceAssemblerRecipes().run();
new VacuumFurnaceRecipes().run();
new PolarizerRecipes().run();
}

}
Original file line number Diff line number Diff line change
@@ -1,6 +1,7 @@
package com.dreammaster.gthandler.recipes;

import static bartworks.system.material.WerkstoffLoader.LuVTierMaterial;
import static goodgenerator.loader.Loaders.advancedRadiationProtectionPlate;
import static gregtech.api.enums.GTValues.L;
import static gregtech.api.enums.GTValues.W;
import static gregtech.api.enums.Mods.*;
Expand All @@ -16,10 +17,12 @@
import static gtPlusPlus.core.material.MaterialsAlloy.HASTELLOY_W;
import static gtPlusPlus.core.material.MaterialsAlloy.HASTELLOY_X;
import static gtPlusPlus.core.material.MaterialsAlloy.INCONEL_792;
import static gtPlusPlus.core.material.MaterialsAlloy.INDALLOY_140;
import static gtPlusPlus.core.material.MaterialsAlloy.LEAGRISIUM;
import static gtPlusPlus.core.material.MaterialsAlloy.NITINOL_60;
import static gtPlusPlus.core.material.MaterialsAlloy.STELLITE;
import static gtPlusPlus.core.material.MaterialsAlloy.TALONITE;
import static gtPlusPlus.core.material.MaterialsAlloy.TRINIUM_NAQUADAH_CARBON;
import static gtPlusPlus.core.material.MaterialsElements.STANDALONE.CHRONOMATIC_GLASS;

import java.util.HashMap;
Expand All @@ -35,6 +38,7 @@
import net.minecraftforge.fluids.FluidStack;
import net.minecraftforge.oredict.OreDictionary;

import com.dreammaster.block.BlockList;
import com.dreammaster.gthandler.CustomItemList;

import bartworks.common.loaders.ItemRegistry;
Expand Down Expand Up @@ -2276,6 +2280,47 @@ public void run() {
.itemOutputs(ItemList.Casing_Electromagnetic_Separator.get(1)).duration(2 * SECONDS + 10 * TICKS)
.eut(16).addTo(assemblerRecipes);

// Neutronium Stabilization Casing
GTValues.RA.stdBuilder()
.itemInputs(
ItemList.Field_Generator_ZPM.get(4),
ItemList.Casing_Coil_NaquadahAlloy.get(4),
ItemList.Casing_MAX.get(1),
GTUtility.getIntegratedCircuit(16))
.itemOutputs(ItemList.Neutronium_Stable_Casing.get(1)).duration(2 * SECONDS + 10 * TICKS).eut(16)
.addTo(assemblerRecipes);

// Neutronium Compressor conversion
GTValues.RA.stdBuilder()
.itemInputs(
getModItem(Avaritia.ID, "Neutronium_Compressor", 1, 0, missing),
GTUtility.getIntegratedCircuit(16))
.itemOutputs(ItemList.Machine_Multi_NeutroniumCompressor.get(1)).duration(2 * SECONDS + 10 * TICKS)
.eut(16).addTo(assemblerRecipes);

// Background Radiation Absorbent Casing
GTValues.RA.stdBuilder()
.itemInputs(
ItemList.Casing_RadiationProof.get(1),
new ItemStack(advancedRadiationProtectionPlate, 8),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Naquadria, 1),
GTUtility.getIntegratedCircuit(16))
.fluidInputs(Materials.UUMatter.getFluid(64000L))
.itemOutputs(ItemList.Background_Radiation_Casing.get(1)).duration(10).eut(TierEU.RECIPE_UIV)
.addTo(assemblerRecipes);

// Extreme Density Space-Bending Casing
GTValues.RA.stdBuilder()
.itemInputs(
BlockList.NeutroniumPlatedReinforcedStone.getIS(),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Steel, 64),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Iron, 64),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Bedrockium, 64),
GTUtility.getIntegratedCircuit(16))
.fluidInputs(FluidRegistry.getFluidStack("wet.concrete", 16000))
.itemOutputs(ItemList.Extreme_Density_Casing.get(1)).duration(5).eut(TierEU.RECIPE_UHV)
.addTo(assemblerRecipes);

// Laser Containment Casing
GTValues.RA.stdBuilder().itemInputs(STELLITE.getPlate(6), NITINOL_60.getFrameBox(1))
.itemOutputs(ItemList.Casing_Laser.get(1)).duration(2 * SECONDS + 10 * TICKS).eut(16)
Expand Down Expand Up @@ -2308,6 +2353,43 @@ public void run() {
.itemOutputs(ItemList.Compressor_Pipe_Casing.get(1)).duration(2 * SECONDS + 10 * TICKS).eut(16)
.addTo(assemblerRecipes);

// Heating Duct Casing
GTValues.RA.stdBuilder()
.itemInputs(
ItemList.Casing_Pipe_Bronze.get(1),
ItemList.Electric_Pump_LuV.get(2),
ItemList.Duct_Tape.get(4),
TRINIUM_NAQUADAH_CARBON.getComponentByPrefix(OrePrefixes.pipeMedium, 16),
HASTELLOY_X.getComponentByPrefix(OrePrefixes.pipeMedium, 16),
GTOreDictUnificator.get(OrePrefixes.pipeMedium, Materials.Ultimate, 32))
.fluidInputs(FluidRegistry.getFluidStack("pyrotheum", 2000))
.itemOutputs(ItemList.Heating_Duct_Casing.get(1)).duration(5 * SECONDS).eut(TierEU.RECIPE_LuV)
.addTo(assemblerRecipes);

// Coolant Duct Casing
GTValues.RA.stdBuilder()
.itemInputs(
ItemList.Casing_Pipe_TungstenSteel.get(1),
ItemList.Electric_Pump_LuV.get(2),
ItemList.Duct_Tape.get(4),
TRINIUM_NAQUADAH_CARBON.getComponentByPrefix(OrePrefixes.pipeMedium, 16),
HASTELLOY_X.getComponentByPrefix(OrePrefixes.pipeMedium, 16),
GTOreDictUnificator.get(OrePrefixes.pipeMedium, Materials.Ultimate, 32))
.fluidInputs(FluidRegistry.getFluidStack("cryotheum", 2000))
.itemOutputs(ItemList.Coolant_Duct_Casing.get(1)).duration(5 * SECONDS).eut(TierEU.RECIPE_LuV)
.addTo(assemblerRecipes);

// Heat Sensor Hatch
GTValues.RA.stdBuilder()
.itemInputs(
ItemList.Casing_ZPM.get(1),
ItemList.Compressor_Casing.get(1),
ItemList.Sensor_ZPM.get(2),
GTOreDictUnificator.get(OrePrefixes.circuit, Materials.UV, 4),
GTUtility.getIntegratedCircuit(4))
.fluidInputs(INDALLOY_140.getFluidStack(16000)).itemOutputs(ItemList.Hatch_HeatSensor.get(1))
.duration(30 * SECONDS).eut(TierEU.RECIPE_LuV).addTo(assemblerRecipes);

// Iron Electromagnet
GTValues.RA.stdBuilder()
.itemInputs(
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -4,13 +4,15 @@
import static gregtech.api.enums.GTValues.L;
import static gregtech.api.enums.Mods.AE2FluidCraft;
import static gregtech.api.enums.Mods.AppliedEnergistics2;
import static gregtech.api.enums.Mods.Avaritia;
import static gregtech.api.enums.Mods.Computronics;
import static gregtech.api.enums.Mods.EternalSingularity;
import static gregtech.api.enums.Mods.GalaxySpace;
import static gregtech.api.enums.Mods.GraviSuite;
import static gregtech.api.enums.Mods.OpenComputers;
import static gregtech.api.enums.Mods.SGCraft;
import static gregtech.api.enums.Mods.SuperSolarPanels;
import static gregtech.api.util.GTModHandler.getModItem;
import static gregtech.api.util.GTRecipeBuilder.HOURS;
import static gregtech.api.util.GTRecipeBuilder.INGOTS;
import static gregtech.api.util.GTRecipeBuilder.MINUTES;
Expand All @@ -21,6 +23,7 @@
import static gregtech.api.util.GTRecipeConstants.RESEARCH_TIME;
import static gtPlusPlus.core.material.MaterialsAlloy.HASTELLOY_C276;
import static gtPlusPlus.core.material.MaterialsAlloy.HASTELLOY_X;
import static gtPlusPlus.core.material.MaterialsAlloy.STABALLOY;
import static tectech.thing.CustomItemList.DATApipe;
import static tectech.thing.CustomItemList.Machine_Multi_DataBank;
import static tectech.thing.CustomItemList.Machine_Multi_Switch;
Expand Down Expand Up @@ -50,6 +53,7 @@
import gregtech.api.enums.GTValues;
import gregtech.api.enums.ItemList;
import gregtech.api.enums.Materials;
import gregtech.api.enums.MaterialsKevlar;
import gregtech.api.enums.MaterialsUEVplus;
import gregtech.api.enums.OrePrefixes;
import gregtech.api.enums.TierEU;
Expand Down Expand Up @@ -1001,6 +1005,58 @@ public void run() {
.duration(60 * SECONDS).eut(TierEU.RECIPE_UHV).addTo(AssemblyLine);
}

// Compressor controllers
{
GTValues.RA.stdBuilder().metadata(RESEARCH_ITEM, ItemList.Machine_Multi_IndustrialCompressor.get(1))
.metadata(RESEARCH_TIME, 1800 * SECONDS)
.itemInputs(
GTOreDictUnificator.get(OrePrefixes.block, Materials.StellarAlloy, 64L),
ItemList.Heating_Duct_Casing.get(32L),
ItemList.Coolant_Duct_Casing.get(32L),
STABALLOY.getBlock(32),
GTModHandler.getIC2Item("mixedMetalIngot", 24L),
new Object[] { OrePrefixes.circuit.get(Materials.LuV), 4 },
new Object[] { OrePrefixes.circuit.get(Materials.UV), 4 },
ItemList.Electric_Piston_ZPM.get(8),
ItemList.Conveyor_Module_ZPM.get(4),
ItemList.Sensor_ZPM.get(2),
ItemList.Field_Generator_ZPM.get(1))
.itemOutputs(ItemList.Machine_Multi_HIPCompressor.get(1))
.fluidInputs(
Materials.Naquadria.getMolten(1152),
Materials.LiquidAir.getFluid(16000),
Materials.Lubricant.getFluid(16000),
Materials.Neutronium.getMolten(1152))
.duration(120 * SECONDS).eut(TierEU.RECIPE_ZPM).addTo(AssemblyLine);

GTValues.RA.stdBuilder().metadata(RESEARCH_ITEM, getModItem(Avaritia.ID, "Singularity", 1L, 0))
.metadata(RESEARCH_TIME, 7200 * SECONDS)
.itemInputs(
ItemList.Machine_Multi_HIPCompressor.get(1),
ItemList.Machine_Multi_NeutroniumCompressor.get(1),
GregtechItemList.Machine_Adv_ImplosionCompressor.get(16),
ItemList.CompressorUIV.get(8L),
GTUtility.copyAmount(4, ItemRegistry.eic.copy()),
ItemList.Field_Generator_UIV.get(4),
ItemList.ZPM3.get(2),
new Object[] { OrePrefixes.circuit.get(Materials.UMV), 4 },
GregtechItemList.Laser_Lens_Special.get(64),
GTOreDictUnificator.get(OrePrefixes.ring, MaterialsUEVplus.ProtoHalkonite, 32),
GTOreDictUnificator.get(OrePrefixes.rotor, MaterialsUEVplus.ProtoHalkonite, 16),
GTOreDictUnificator.get(OrePrefixes.gear, MaterialsUEVplus.ProtoHalkonite, 8),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Neutronium, 16),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.Naquadria, 16),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, Materials.CosmicNeutronium, 8),
GTOreDictUnificator.get(OrePrefixes.plateSuperdense, MaterialsKevlar.Kevlar, 4))
.itemOutputs(ItemList.Machine_Multi_BlackHoleCompressor.get(1))
.fluidInputs(
MaterialsUEVplus.SpaceTime.getMolten(1440),
new FluidStack(FluidRegistry.getFluid("oganesson"), 256000),
Materials.SuperconductorUIVBase.getMolten(144 * 300),
Materials.Infinity.getMolten(144 * 100))
.duration(120 * SECONDS).eut(TierEU.RECIPE_UIV).addTo(AssemblyLine);
}

// Waterline controllers
{

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -174,6 +174,11 @@ public void run() {
.fluidInputs(FluidRegistry.getFluidStack("molten.hastelloyx", 1152)).duration(15 * SECONDS)
.eut(TierEU.RECIPE_IV).addTo(chemicalBathRecipes);

// Neutronium Casing
GTValues.RA.stdBuilder().itemInputs(ItemList.Casing_AdvancedRadiationProof.get(1))
.itemOutputs(ItemList.Neutronium_Casing.get(1)).fluidInputs(Materials.Neutronium.getMolten(8064))
.duration(10 * SECONDS).eut(TierEU.RECIPE_UV).addTo(chemicalBathRecipes);

// Superplasticizer-treated high strength concrete
Fluid naphthalene = FluidRegistry.getFluid("fluid.naphthalene");
GTValues.RA.stdBuilder().itemInputs(GTModHandler.getIC2Item("reinforcedStone", 1))
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -14,6 +14,7 @@
import com.dreammaster.gthandler.CustomItemList;
import com.dreammaster.gthandler.GT_CustomLoader;

import bartworks.common.loaders.ItemRegistry;
import gregtech.api.enums.GTValues;
import gregtech.api.enums.ItemList;
import gregtech.api.enums.Materials;
Expand Down Expand Up @@ -424,6 +425,10 @@ public void run() {
.fluidInputs(Materials.Steel.getMolten(576L)).duration(20 * TICKS).eut(TierEU.RECIPE_MV)
.addTo(fluidSolidifierRecipes);

// Hawking Radiation Realignment Focus
GTValues.RA.stdBuilder().itemInputs(new ItemStack(ItemRegistry.bw_glasses[0], 1, 15))
.fluidInputs(new FluidStack(FluidRegistry.getFluid("molten.rhugnor"), 1152)).duration(20 * SECONDS)
.eut(TierEU.RECIPE_UIV).itemOutputs(ItemList.Hawking_Glass.get(1)).addTo(fluidSolidifierRecipes);
}
}
}
Loading

0 comments on commit f0dd4c8

Please sign in to comment.