Skip to content

Commit

Permalink
Merge branch 'friedl-fix-mgmt_10ge_pma_type' into 'main'
Browse files Browse the repository at this point in the history
ETH PHY - MGMT: [BUGFIX] Set the default 10G PMA mode to 10GBASE-LR

See merge request ndk/ofm!421
  • Loading branch information
Stepan Friedl committed Sep 24, 2024
2 parents c9d5275 + 9296793 commit d96cb16
Showing 1 changed file with 4 additions and 2 deletions.
6 changes: 4 additions & 2 deletions comp/nic/eth_phy/comp/mgmt/mgmt.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -864,6 +864,8 @@ begin
mi_drd_i(16+2) <= '1'; -- r1.7.2
if pma_mode(1 downto 0) /= "00" then -- 10GBASE-LX4 (0x04) not supported
mi_drd_i(16+1 downto 16+0) <= pma_mode(1 downto 0); -- SR or -LR or -ER
else
mi_drd_i(16+1 downto 16+0) <= "10"; -- SR or -LR or -ER
end if;
end case;
when "0000100" => -- PMA transmit disable & status 2 -- 0x...C
Expand Down Expand Up @@ -1294,8 +1296,8 @@ begin
end if;
when 25 =>
pma_mode <= "000"; -- 25GBASE-CR
when others => -- TBD
pma_mode <= "000";
when others => -- 10GBASE-LR
pma_mode <= "010";
end case;
scr_bypass_r <= "00";
pcs_lpbk <= '0';
Expand Down

0 comments on commit d96cb16

Please sign in to comment.