diff --git a/testsuite/gna/issue1602/repro1.vhdl b/testsuite/gna/issue1602/repro1.vhdl new file mode 100644 index 0000000000..d9e7014c11 --- /dev/null +++ b/testsuite/gna/issue1602/repro1.vhdl @@ -0,0 +1,13 @@ +entity repro1 is + generic (c : bit_vector); +end entity; + +architecture behaviour of repro1 is + + signal s : bit_vector(3 downto 0); + +begin + + c <= s; + +end architecture; diff --git a/testsuite/gna/issue1602/shadow.vhdl b/testsuite/gna/issue1602/shadow.vhdl new file mode 100644 index 0000000000..6c7fc878fb --- /dev/null +++ b/testsuite/gna/issue1602/shadow.vhdl @@ -0,0 +1,21 @@ +entity shadow is +end entity; + +architecture behaviour of shadow is + + signal s : bit_vector(3 downto 0); + +begin + + process + + procedure example (s : in bit_vector) is + begin + s <= s; + end procedure; + + begin + wait; + end process; + +end architecture; diff --git a/testsuite/gna/issue1602/testsuite.sh b/testsuite/gna/issue1602/testsuite.sh new file mode 100755 index 0000000000..0111502742 --- /dev/null +++ b/testsuite/gna/issue1602/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=93 +analyze_failure shadow.vhdl +analyze_failure repro1.vhdl + +clean + +echo "Test successful"