diff --git a/testfiles/demo_all-consts.h b/testfiles/demo_all-consts.h index 16b9208e..ecca4290 100644 --- a/testfiles/demo_all-consts.h +++ b/testfiles/demo_all-consts.h @@ -6,6 +6,7 @@ #define DEMO_ALL_REG0_FIELD01 0xf0UL #define DEMO_ALL_REG0_FIELD02_OFFSET 8 #define DEMO_ALL_REG0_FIELD02 0x700UL +#define DEMO_ALL_REG0_FIELD02_PRESET 0x2UL #define ADDR_DEMO_ALL_REG1 0x4UL #define DEMO_ALL_REG1_PRESET 0x123UL #define ADDR_DEMO_ALL_REG2 0x8UL diff --git a/testfiles/demo_all-consts.sv b/testfiles/demo_all-consts.sv index 85881ef5..9ae4df8a 100644 --- a/testfiles/demo_all-consts.sv +++ b/testfiles/demo_all-consts.sv @@ -7,6 +7,7 @@ package demo_all_Consts; localparam DEMO_ALL_REG0_FIELD01 = 32'hf0; localparam DEMO_ALL_REG0_FIELD02_OFFSET = 8; localparam DEMO_ALL_REG0_FIELD02 = 32'h700; + localparam DEMO_ALL_REG0_FIELD02_PRESET = 3'h2; localparam ADDR_DEMO_ALL_REG1 = 'h4; localparam DEMO_ALL_REG1_PRESET = 32'h123; localparam ADDR_DEMO_ALL_REG2 = 'h8; diff --git a/testfiles/demo_all-consts.v b/testfiles/demo_all-consts.v index e2768121..a8d0e2bf 100644 --- a/testfiles/demo_all-consts.v +++ b/testfiles/demo_all-consts.v @@ -6,6 +6,7 @@ `define DEMO_ALL_REG0_FIELD01 'hf0 `define DEMO_ALL_REG0_FIELD02_OFFSET 8 `define DEMO_ALL_REG0_FIELD02 'h700 +`define DEMO_ALL_REG0_FIELD02_PRESET 'h2 `define ADDR_DEMO_ALL_REG1 'h4 `define DEMO_ALL_REG1_PRESET 'h123 `define ADDR_DEMO_ALL_REG2 'h8 diff --git a/testfiles/demo_all-consts.vhdl b/testfiles/demo_all-consts.vhdl index 3c3b5198..eba409e4 100644 --- a/testfiles/demo_all-consts.vhdl +++ b/testfiles/demo_all-consts.vhdl @@ -7,6 +7,7 @@ package demo_all_Consts is constant DEMO_ALL_REG0_FIELD00_OFFSET : Natural := 1; constant DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4; constant DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8; + constant DEMO_ALL_REG0_FIELD02_PRESET : std_logic_vector(3-1 downto 0) := "010"; constant ADDR_DEMO_ALL_REG1 : Natural := 16#4#; constant DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123"; constant ADDR_DEMO_ALL_REG2 : Natural := 16#8#; diff --git a/testfiles/demo_all-consts.vhdl-ohwr b/testfiles/demo_all-consts.vhdl-ohwr index ca8f30b5..c9b8c6e1 100644 --- a/testfiles/demo_all-consts.vhdl-ohwr +++ b/testfiles/demo_all-consts.vhdl-ohwr @@ -7,6 +7,7 @@ package demo_all_consts_pkg is constant c_DEMO_ALL_REG0_FIELD00_OFFSET : Natural := 1; constant c_DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4; constant c_DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8; + constant c_DEMO_ALL_REG0_FIELD02_PRESET : std_logic_vector(3-1 downto 0) := "010"; constant c_DEMO_ALL_REG1_ADDR : Natural := 16#4#; constant c_DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123"; constant c_DEMO_ALL_REG2_ADDR : Natural := 16#8#; diff --git a/testfiles/issue_g2/reg-consts.h b/testfiles/issue_g2/reg-consts.h index b6f77b73..dc7d61aa 100644 --- a/testfiles/issue_g2/reg-consts.h +++ b/testfiles/issue_g2/reg-consts.h @@ -4,7 +4,10 @@ #define ADDR_HEADER_BLOCK_REG_001_VERSION_REVISION 0x4UL #define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_OFFSET 0 #define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION 0xfUL +#define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_PRESET 0x1UL #define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_OFFSET 4 #define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION 0xff0UL +#define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_PRESET 0x0UL #define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_OFFSET 12 #define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE 0xfffff000UL +#define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_PRESET 0x3840fUL diff --git a/testfiles/issue_g2/reg-consts.sv b/testfiles/issue_g2/reg-consts.sv index 16067eab..47b2916b 100644 --- a/testfiles/issue_g2/reg-consts.sv +++ b/testfiles/issue_g2/reg-consts.sv @@ -5,8 +5,11 @@ package header_block_Consts; localparam ADDR_HEADER_BLOCK_REG_001_VERSION_REVISION = 'h4; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_OFFSET = 0; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION = 32'hf; + localparam HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_PRESET = 4'h1; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_OFFSET = 4; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION = 32'hff0; + localparam HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_PRESET = 8'h0; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_OFFSET = 12; localparam HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE = 32'hfffff000; + localparam HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_PRESET = 20'h3840f; endpackage diff --git a/testfiles/issue_g2/reg-consts.v b/testfiles/issue_g2/reg-consts.v index c6143ac9..7aa76e90 100644 --- a/testfiles/issue_g2/reg-consts.v +++ b/testfiles/issue_g2/reg-consts.v @@ -4,7 +4,10 @@ `define ADDR_HEADER_BLOCK_REG_001_VERSION_REVISION 'h4 `define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_OFFSET 0 `define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION 'hf +`define HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_PRESET 'h1 `define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_OFFSET 4 `define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION 'hff0 +`define HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_PRESET 'h0 `define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_OFFSET 12 `define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE 'hfffff000 +`define HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_PRESET 'h3840f diff --git a/testfiles/issue_g2/reg-consts.vhdl b/testfiles/issue_g2/reg-consts.vhdl index 27e5790b..babe4bb8 100644 --- a/testfiles/issue_g2/reg-consts.vhdl +++ b/testfiles/issue_g2/reg-consts.vhdl @@ -7,6 +7,9 @@ package header_block_Consts is constant HEADER_BLOCK_REG_000_DRAWING_NUMBER_PRESET : std_logic_vector(32-1 downto 0) := x"08000101"; constant ADDR_HEADER_BLOCK_REG_001_VERSION_REVISION : Natural := 16#4#; constant HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_OFFSET : Natural := 0; + constant HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_PRESET : std_logic_vector(4-1 downto 0) := x"1"; constant HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_OFFSET : Natural := 4; + constant HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_PRESET : std_logic_vector(8-1 downto 0) := x"00"; constant HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_OFFSET : Natural := 12; + constant HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_PRESET : std_logic_vector(20-1 downto 0) := x"3840f"; end package header_block_Consts; diff --git a/testfiles/issue_g2/reg-consts.vhdl-ohwr b/testfiles/issue_g2/reg-consts.vhdl-ohwr index 6ffe3c35..a6f92d8a 100644 --- a/testfiles/issue_g2/reg-consts.vhdl-ohwr +++ b/testfiles/issue_g2/reg-consts.vhdl-ohwr @@ -7,6 +7,9 @@ package header_block_consts_pkg is constant c_HEADER_BLOCK_REG_000_DRAWING_NUMBER_PRESET : std_logic_vector(32-1 downto 0) := x"08000101"; constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_ADDR : Natural := 16#4#; constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_OFFSET : Natural := 0; + constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_VERSION_PRESET : std_logic_vector(4-1 downto 0) := x"1"; constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_OFFSET : Natural := 4; + constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_REVISION_PRESET : std_logic_vector(8-1 downto 0) := x"00"; constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_OFFSET : Natural := 12; + constant c_HEADER_BLOCK_REG_001_VERSION_REVISION_BUILD_DATE_PRESET : std_logic_vector(20-1 downto 0) := x"3840f"; end package header_block_consts_pkg;