From 04ecb1308f0cf13c6f2505c5237e79fe17e348c3 Mon Sep 17 00:00:00 2001 From: Stefan Lippuner <3071885+stefanlippuner@users.noreply.github.com> Date: Tue, 21 Nov 2023 14:41:36 +0100 Subject: [PATCH] Tests - Add test for large preset values, regenerate testfiles --- testfiles/bug-consts/blkpfx-consts.vhdl | 3 +++ testfiles/bug-consts/blkpfx-consts.vhdl-ohwr | 3 +++ testfiles/demo_all-consts.vhdl | 5 ++++- testfiles/demo_all-consts.vhdl-ohwr | 5 ++++- testfiles/features/mapinfo1-consts.vhdl | 3 +++ testfiles/features/mapinfo1-consts.vhdl-ohwr | 3 +++ testfiles/features/semver1-consts.vhdl | 3 +++ testfiles/features/semver1-consts.vhdl-ohwr | 3 +++ testfiles/issue64/simple_reg1-consts.vhdl | 3 +++ testfiles/issue64/simple_reg1-consts.vhdl-ohwr | 3 +++ 10 files changed, 32 insertions(+), 2 deletions(-) diff --git a/testfiles/bug-consts/blkpfx-consts.vhdl b/testfiles/bug-consts/blkpfx-consts.vhdl index c137153e..fc29bf27 100644 --- a/testfiles/bug-consts/blkpfx-consts.vhdl +++ b/testfiles/bug-consts/blkpfx-consts.vhdl @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package blkpfx_Consts is constant BLKPFX_SIZE : Natural := 4; constant ADDR_BLKPFX_REG1 : Natural := 16#0#; diff --git a/testfiles/bug-consts/blkpfx-consts.vhdl-ohwr b/testfiles/bug-consts/blkpfx-consts.vhdl-ohwr index 3e63c2a2..e08f8262 100644 --- a/testfiles/bug-consts/blkpfx-consts.vhdl-ohwr +++ b/testfiles/bug-consts/blkpfx-consts.vhdl-ohwr @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package blkpfx_consts_pkg is constant c_BLKPFX_SIZE : Natural := 4; constant c_BLKPFX_REG1_ADDR : Natural := 16#0#; diff --git a/testfiles/demo_all-consts.vhdl b/testfiles/demo_all-consts.vhdl index 553d9698..3c3b5198 100644 --- a/testfiles/demo_all-consts.vhdl +++ b/testfiles/demo_all-consts.vhdl @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package demo_all_Consts is constant DEMO_ALL_SIZE : Natural := 8448; constant ADDR_DEMO_ALL_REG0 : Natural := 16#0#; @@ -5,7 +8,7 @@ package demo_all_Consts is constant DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4; constant DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8; constant ADDR_DEMO_ALL_REG1 : Natural := 16#4#; - constant DEMO_ALL_REG1_PRESET : Natural := 16#123#; + constant DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123"; constant ADDR_DEMO_ALL_REG2 : Natural := 16#8#; constant DEMO_ALL_REG2_FIELD10_OFFSET : Natural := 0; constant DEMO_ALL_REG2_FIELD11_OFFSET : Natural := 16; diff --git a/testfiles/demo_all-consts.vhdl-ohwr b/testfiles/demo_all-consts.vhdl-ohwr index 94a37da8..1f3a2843 100644 --- a/testfiles/demo_all-consts.vhdl-ohwr +++ b/testfiles/demo_all-consts.vhdl-ohwr @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package demo_all_consts_pkg is constant c_DEMO_ALL_SIZE : Natural := 8448; constant c_DEMO_ALL_REG0_ADDR : Natural := 16#0#; @@ -5,7 +8,7 @@ package demo_all_consts_pkg is constant c_DEMO_ALL_REG0_FIELD01_OFFSET : Natural := 4; constant c_DEMO_ALL_REG0_FIELD02_OFFSET : Natural := 8; constant c_DEMO_ALL_REG1_ADDR : Natural := 16#4#; - constant c_DEMO_ALL_REG1_PRESET : Natural := 16#123#; + constant DEMO_ALL_REG1_PRESET : std_logic_vector(32-1 downto 0) := x"00000123"; constant c_DEMO_ALL_REG2_ADDR : Natural := 16#8#; constant c_DEMO_ALL_REG2_FIELD10_OFFSET : Natural := 0; constant c_DEMO_ALL_REG2_FIELD11_OFFSET : Natural := 16; diff --git a/testfiles/features/mapinfo1-consts.vhdl b/testfiles/features/mapinfo1-consts.vhdl index 31a0bdf6..ca529370 100644 --- a/testfiles/features/mapinfo1-consts.vhdl +++ b/testfiles/features/mapinfo1-consts.vhdl @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package semver1_Consts is constant SEMVER1_SIZE : Natural := 4; constant SEMVER1_MEMMAP_VERSION : Natural := 16#10000#; diff --git a/testfiles/features/mapinfo1-consts.vhdl-ohwr b/testfiles/features/mapinfo1-consts.vhdl-ohwr index 16e07c87..b282a744 100644 --- a/testfiles/features/mapinfo1-consts.vhdl-ohwr +++ b/testfiles/features/mapinfo1-consts.vhdl-ohwr @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package semver1_consts_pkg is constant c_SEMVER1_SIZE : Natural := 4; constant c_SEMVER1_MEMMAP_VERSION : Natural := 16#10000#; diff --git a/testfiles/features/semver1-consts.vhdl b/testfiles/features/semver1-consts.vhdl index ae11d24a..b5f2ab29 100644 --- a/testfiles/features/semver1-consts.vhdl +++ b/testfiles/features/semver1-consts.vhdl @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package semver1_Consts is constant SEMVER1_SIZE : Natural := 4; constant SEMVER1_VERSION : Natural := 16#10000#; diff --git a/testfiles/features/semver1-consts.vhdl-ohwr b/testfiles/features/semver1-consts.vhdl-ohwr index 324c9e55..9375a64f 100644 --- a/testfiles/features/semver1-consts.vhdl-ohwr +++ b/testfiles/features/semver1-consts.vhdl-ohwr @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package semver1_consts_pkg is constant c_SEMVER1_SIZE : Natural := 4; constant c_SEMVER1_VERSION : Natural := 16#10000#; diff --git a/testfiles/issue64/simple_reg1-consts.vhdl b/testfiles/issue64/simple_reg1-consts.vhdl index 62433615..f43c087a 100644 --- a/testfiles/issue64/simple_reg1-consts.vhdl +++ b/testfiles/issue64/simple_reg1-consts.vhdl @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package sreg_map_Consts is constant SREG_SIZE : Natural := 4; constant ADDR_SREG_AREG : Natural := 16#0#; diff --git a/testfiles/issue64/simple_reg1-consts.vhdl-ohwr b/testfiles/issue64/simple_reg1-consts.vhdl-ohwr index db072593..974f642a 100644 --- a/testfiles/issue64/simple_reg1-consts.vhdl-ohwr +++ b/testfiles/issue64/simple_reg1-consts.vhdl-ohwr @@ -1,3 +1,6 @@ +library ieee; +use ieee.std_logic_1164.all; + package sreg_map_consts_pkg is constant c_SREG_SIZE : Natural := 4; constant c_SREG_AREG_ADDR : Natural := 16#0#;