From a900183c33c0739468b8838c72ac122844f1afae Mon Sep 17 00:00:00 2001 From: Victor Isachi Date: Wed, 17 Apr 2024 16:12:16 +0200 Subject: [PATCH] Copied TB from Carfield's intel16 repo, updated Bender and simulation script --- Bender.yml | 5 +- hw/carfield_chip_pkg.sv | 30 + target/sim/sim.mk | 3 +- target/sim/src/carfield_synth_fix.sv | 805 +++++++++++++++ target/sim/src/carfield_synth_tb.sv | 1369 ++++++++++++++++++++++++++ 5 files changed, 2210 insertions(+), 2 deletions(-) create mode 100644 hw/carfield_chip_pkg.sv create mode 100644 target/sim/src/carfield_synth_fix.sv create mode 100644 target/sim/src/carfield_synth_tb.sv diff --git a/Bender.yml b/Bender.yml index ecb9469a..1761a91e 100644 --- a/Bender.yml +++ b/Bender.yml @@ -18,7 +18,7 @@ dependencies: dyn_mem: { git: https://github.com/pulp-platform/dyn_spm.git, rev: 480590062742230dc9bd4050358a15b4747bdf34 } # branch: main safety_island: { git: https://github.com/pulp-platform/safety_island.git, rev: aaef55c798ab53560faaf451a86668fa1e6d0f3b } # branch: carfield pulp_cluster: { git: https://github.com/pulp-platform/pulp_cluster.git, rev: 3cd3e83fdd7a791e8ae54aef39423004581c8a48 } # branch: astral - opentitan: { git: https://github.com/pulp-platform/opentitan.git, rev: 3fc11c14f29a161054766fabd95f90ab2a9e473a } # branch: mc/astral + opentitan: { git: https://github.com/pulp-platform/opentitan.git, rev: f1bd0be4dfd77982d99db15c0cd21aae70e8dbb3 } # branch: mc/astral mailbox_unit: { git: https://github.com/pulp-platform/mailbox_unit.git, version: 1.1.0 } apb: { git: https://github.com/pulp-platform/apb.git, version: 0.2.3 } timer_unit: { git: https://github.com/pulp-platform/timer_unit.git, version: 1.0.2 } @@ -77,6 +77,7 @@ sources: # levels 1 and 0, etc. Files within a level are ordered alphabetically. # Level 0 - hw/carfield_pkg.sv + - hw/carfield_chip_pkg.sv - hw/regs/carfield_reg_pkg.sv - hw/regs/carfield_reg_top.sv # Level 1 @@ -97,6 +98,8 @@ sources: - target/sim/src/vip_carfield_soc.sv - target/sim/src/carfield_fix.sv - target/sim/src/carfield_tb.sv + - target/sim/src/carfield_synth_fix.sv + - target/sim/src/carfield_synth_tb.sv - target: spyglass files: diff --git a/hw/carfield_chip_pkg.sv b/hw/carfield_chip_pkg.sv new file mode 100644 index 00000000..9b6c0336 --- /dev/null +++ b/hw/carfield_chip_pkg.sv @@ -0,0 +1,30 @@ +// Copyright 2023 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Alessandro Ottaviano + +`include "cheshire/typedef.svh" + +/// Carfield chip specific parameters +package carfield_chip_pkg; + +// import pll_digital_pkg::*; + +// localparam int unsigned NumPlls = pll_digital_pkg::NPLLs; + +typedef enum int { + HostDomainClkIdx = 'd0, + PeriphDomainClkIdx = 'd1, + AltDomainClkIdx = 'd2 +} carfield_clocks_e; + +// PULP Platform manufacturer and default Carfield Pll part number +// JTAG ID code: +// LSB [0]: 1'h1 +// PULP Platform Manufacturer [11:1]: 11'h6d9 +// Part Number [27:12]: 16'habc0 --> TBD! +// Version [31:28]: 4'h1 +localparam int unsigned CarfieldPllJtagIdCode = 32'h1_abc0_db3; + +endpackage diff --git a/target/sim/sim.mk b/target/sim/sim.mk index d32d4435..a00c3955 100644 --- a/target/sim/sim.mk +++ b/target/sim/sim.mk @@ -7,7 +7,8 @@ ## @section Carfield platform simulation QUESTA ?= questa-2023.4 -TBENCH ?= tb_carfield_soc +#TBENCH ?= tb_carfield_soc +TBENCH ?= tb_carfield_chip ## Get HyperRAM verification IP (VIP) for simulation $(CAR_TGT_DIR)/sim/src/hyp_vip: diff --git a/target/sim/src/carfield_synth_fix.sv b/target/sim/src/carfield_synth_fix.sv new file mode 100644 index 00000000..7d19e7c9 --- /dev/null +++ b/target/sim/src/carfield_synth_fix.sv @@ -0,0 +1,805 @@ +// Copyright 2023 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Alessandro Ottaviano + +module carfield_chip_fixture; + + `include "cheshire/typedef.svh" + `include "register_interface/assign.svh" + `include "axi/assign.svh" + + import carfield_chip_pkg::*; + import cheshire_pkg::*; + import carfield_pkg::*; + import safety_island_pkg::*; + + /////////// + // DPI // + /////////// + + import "DPI-C" function byte read_elf(input string filename); + import "DPI-C" function byte get_entry(output longint entry); + import "DPI-C" function byte get_section(output longint address, output longint len); + import "DPI-C" context function byte read_section(input longint address, inout byte buffer[], input longint len); + + ///////// + // DUT // + ///////// + + localparam time ClkPeriodRef = 10ns; // 100MHz reference clock + localparam time ClkPeriodExt = 3.3ns; // 300MHz: the maximum + // frequency supported by the + // pads according to intel16's + // datasheet + localparam time ClkPeriodJtag = 40ns; + localparam int unsigned RstCycles = 5; + localparam real TAppl = 0.1; + localparam real TTest = 0.9; + + localparam int NumPhys = 2; + localparam int NumChips = 2; + + //////////////////////// + // IN/OUT declaration // + //////////////////////// + + logic ref_clk, ext_clk; + logic bypass_pll; + logic pwr_on_rst_n; + logic pwr_on_ext_rst_n; + logic secure_boot; + logic testmode_hostd; + logic [1:0] bootmode_hostd; + logic [1:0] bootmode_safed; + logic [1:0] bootmode_secd; + + logic jtag_hostd_tck; + logic jtag_hostd_trst_n; + logic jtag_hostd_tms; + logic jtag_hostd_tdi; + logic jtag_hostd_tdo; + + logic jtag_safed_tck; + logic jtag_safed_trst_n; + logic jtag_safed_tms; + logic jtag_safed_tdi; + logic jtag_safed_tdo; + + logic jtag_secd_tck; + logic jtag_secd_trst_n; + logic jtag_secd_tms; + logic jtag_secd_tdi; + logic jtag_secd_tdo; + + logic jtag_pll_tck; + logic jtag_pll_trst_n; + logic jtag_pll_tms; + logic jtag_pll_tdi; + logic jtag_pll_tdo; + + logic uart_hostd_tx; + logic uart_hostd_rx; + logic uart_secd_tx; + logic uart_secd_rx; + + // Serial Link signals + logic [SlinkNumChan-1:0] slink_hostd_rcv_clk_to_vip; + logic [SlinkNumChan-1:0] slink_hostd_rcv_clk_from_vip; + logic [SlinkNumChan-1:0][SlinkNumLanes-1:0] slink_hostd_to_vip; + logic [SlinkNumChan-1:0][SlinkNumLanes-1:0] slink_hostd_from_vip; + + /////////////////////// + // INOUT declaration // + /////////////////////// + + // Clock + wire w_ref_clk; + // Bypass PLL + wire w_bypass_pll; + // External clock + wire w_ext_clk; + // POR (power-on reset, active low) + wire w_pwr_on_rst_n; + // secure boot emulation + wire w_secure_boot; + // Bootmode (hostd) + wire [2:0] w_bootmode_hostd; + // Bootmode (safed) + wire [1:0] w_bootmode_safed; + // Bootmode (secd) + wire [1:0] w_bootmode_secd; + // JTAG (hostd) + wire w_jtag_hostd_tck; + wire w_jtag_hostd_tms; + wire w_jtag_hostd_tdi; + wire w_jtag_hostd_trstn; + wire w_jtag_hostd_tdo; + // JTAG (safed) + wire w_jtag_safed_tck; + wire w_jtag_safed_tms; + wire w_jtag_safed_tdi; + wire w_jtag_safed_trstn; + wire w_jtag_safed_tdo; + // JTAG (secd) + wire w_jtag_secd_tck; + wire w_jtag_secd_tms; + wire w_jtag_secd_tdi; + wire w_jtag_secd_trstn; + wire w_jtag_secd_tdo; + // GPIOs + wire [15:0] w_gpio; + // Serial Link + wire w_slink_hostd_rcv_clk_to_vip; + wire w_slink_hostd_rcv_clk_from_vip; + wire [SlinkNumLanes-1:0] w_slink_hostd_to_vip; + wire [SlinkNumLanes-1:0] w_slink_hostd_from_vip; + // hyperbus tristate signals + wire [NumPhys-1:0][NumChips-1:0] w_hyper_csn; + wire [NumPhys-1:0] w_hyper_ck; + wire [NumPhys-1:0] w_hyper_ckn; + wire [NumPhys-1:0] w_hyper_rwds; + wire [NumPhys-1:0][7:0] w_hyper_dq; + wire [NumPhys-1:0] w_hyper_resetn; + // SPI (hostd) + wire w_spi_hostd_sck; + wire [SpihNumCs-1:0] w_spi_hostd_csb; + wire [3:0] w_spi_hostd_sd; + // SPI (secd) + wire w_spi_secd_sck; + wire [SpihNumCs-1:0] w_spi_secd_csb; + wire [3:0] w_spi_secd_sd; + // UART (hostd) + wire w_uart_hostd_tx; + wire w_uart_hostd_rx; + // UART (secd) + wire w_uart_secd_tx; + wire w_uart_secd_rx; + // I2C (hostd) + wire w_i2c_hostd_sda; + wire w_i2c_hostd_scl; + // CAN + wire w_can_tx; + wire w_can_rx; + // Ethernet + wire w_eth_rst; + wire w_eth_txck; + wire w_eth_txctl; + wire w_eth_txd0; + wire w_eth_txd1; + wire w_eth_txd2; + wire w_eth_txd3; + wire w_eth_mdc; + wire w_eth_md; + wire w_eth_rxck; + wire w_eth_rxctl; + wire w_eth_rxd0; + wire w_eth_rxd1; + wire w_eth_rxd2; + wire w_eth_rxd3; + // Debug signals + wire [2:0] debug_signals; + + wire w_jtag_pll_tck; + wire w_jtag_pll_tms; + wire w_jtag_pll_tdi; + wire w_jtag_pll_trstn; + wire w_jtag_pll_tdo; + + ///////////////// + // Assignments // + ///////////////// + + // Clock + assign w_ref_clk = ref_clk; + // PLL bypass + assign w_bypass_pll = bypass_pll; + // External clock + assign w_ext_clk = ext_clk; + // POR +`ifndef BYPASS_PLL + assign w_pwr_on_rst_n = pwr_on_rst_n; +`else + assign w_pwr_on_rst_n = pwr_on_ext_rst_n; +`endif + // secure boot emulation + assign w_secure_boot = secure_boot; + // Bootmode (hostd) + // We only use 2 bits at the moment, the thirs is tied to 0 + assign w_bootmode_hostd = {1'b0, bootmode_hostd}; + // Bootmode (safed) + assign w_bootmode_safed = bootmode_safed; + // Bootmode (secd) + assign w_bootmode_secd = bootmode_secd; + // JTAG (hostd) + assign w_jtag_hostd_tck = jtag_hostd_tck; + assign w_jtag_hostd_tms = jtag_hostd_tms; + assign w_jtag_hostd_tdi = jtag_hostd_tdi; + assign w_jtag_hostd_trstn = jtag_hostd_trst_n; + // TODO the pad is inverted wrt output signal, FIXME! This is just a hack, + // some parameters in the padframe are off + assign jtag_hostd_tdo = w_jtag_hostd_tdo; + // JTAG (safed) + assign w_jtag_safed_tck = jtag_safed_tck; + assign w_jtag_safed_tms = jtag_safed_tms; + assign w_jtag_safed_tdi = jtag_safed_tdi; + assign w_jtag_safed_trstn = jtag_safed_trst_n; + assign jtag_safed_tdo = w_jtag_safed_tdo; + // JTAG (secd) + assign w_jtag_secd_tck = jtag_secd_tck; + assign w_jtag_secd_tms = jtag_secd_tms; + assign w_jtag_secd_tdi = jtag_secd_tdi; + assign w_jtag_secd_trstn = jtag_secd_trst_n; + assign jtag_secd_tdo = w_jtag_secd_tdo; + // GPIOs (hostd) + assign w_gpio = '0; + // Serial Link (hostd) + assign w_slink_hostd_rcv_clk_from_vip = slink_hostd_rcv_clk_from_vip; + assign w_slink_hostd_from_vip = slink_hostd_from_vip; + assign slink_hostd_rcv_clk_to_vip = w_slink_hostd_rcv_clk_to_vip; + assign slink_hostd_to_vip = w_slink_hostd_to_vip; + // UART (hostd) + assign uart_hostd_tx = w_uart_hostd_tx; + assign w_uart_hostd_rx = uart_hostd_rx; + // UART (secd) + assign uart_secd_tx = w_uart_secd_tx; + assign w_uart_secd_rx = uart_secd_rx; + // CAN + // TODO connect + assign w_can_rx = '0; + // Ethernet + // TODO connect + assign w_eth_rxck = '0; + assign w_eth_rxctl = '0; + assign w_eth_rxd0 = '0; + assign w_eth_rxd1 = '0; + assign w_eth_rxd2 = '0; + assign w_eth_rxd3 = '0; + // PLL JTAG + assign w_jtag_pll_tck = jtag_pll_tck; + assign w_jtag_pll_tms = jtag_pll_tms; + assign w_jtag_pll_tdi = jtag_pll_tdi; + assign w_jtag_pll_trstn = jtag_pll_trst_n; + assign jtag_pll_tdo = w_jtag_pll_tdo; + + /////////////////////////////// + // External Clock generation // + /////////////////////////////// + + clk_rst_gen #( + .ClkPeriod ( ClkPeriodExt ), + .RstClkCycles ( RstCycles ) + ) i_ext_clk ( + .clk_o ( ext_clk ), +`ifndef BYPASS_PLL + .rst_no ( ) +`else + .rst_no ( pwr_on_ext_rst_n ) +`endif + ); + + //////////////////////////// + // Carfield configuration // + //////////////////////////// + + localparam cheshire_cfg_t DutCfg = carfield_pkg::CarfieldCfgDefault; + `CHESHIRE_TYPEDEF_ALL(, DutCfg) + + +`ifndef CARFIELD_CHIP_NETLIST + carfield_synth_wrap #( + .HypNumPhys ( NumPhys ), + .HypNumChips ( NumChips ) + ) i_dut ( +`else + carfield_synth_wrap i_dut ( +`endif + // POR + .pad_periph_reset_n_pad ( w_pwr_on_rst_n ), + .pad_periph_bypass_fll_pad ( w_bypass_pll ), + // Reference clock + .pad_periph_ref_clk_pad ( w_ref_clk ), + // External clock + .pad_periph_ext_clk_pad ( w_ext_clk ), + .pad_periph_secure_boot_pad ( w_secure_boot ), + .pad_periph_jtag_pll_00_pad ( w_jtag_pll_tck ), + .pad_periph_jtag_pll_01_pad ( w_jtag_pll_tms ), + .pad_periph_jtag_pll_02_pad ( w_jtag_pll_tdi ), + .pad_periph_jtag_pll_03_pad ( w_jtag_pll_trstn ), + .pad_periph_jtag_pll_04_pad ( w_jtag_pll_tdo ), + // Debug signals + .pad_periph_debug_out0_pad ( debug_signals[0] ), // default host pll + .pad_periph_debug_out1_pad ( debug_signals[1] ), // default alt pll + .pad_periph_debug_out2_pad ( debug_signals[2] ), // default periph pll + .pad_periph_debug_out3_pad ( /* unconnected in TB */ ), // default host clk + .pad_periph_debug_out4_pad ( /* unconnected in TB */ ), // default host por + // Bootmode (hostd) + .pad_periph_bootmode_host_0_pad ( w_bootmode_hostd[0] ), + .pad_periph_bootmode_host_1_pad ( w_bootmode_hostd[1] ), + .pad_periph_bootmode_host_2_pad ( w_bootmode_hostd[2] ), // currently without load + // JTAG (hostd) + .pad_periph_jtag_host_tck_pad ( w_jtag_hostd_tck ), + .pad_periph_jtag_host_tms_pad ( w_jtag_hostd_tms ), + .pad_periph_jtag_host_tdi_pad ( w_jtag_hostd_tdi ), + .pad_periph_jtag_host_trstn_pad ( w_jtag_hostd_trstn ), + .pad_periph_jtag_host_tdo_pad ( w_jtag_hostd_tdo ), + // UART (hostd) + .pad_periph_host_00_pad ( w_uart_hostd_rx ), + .pad_periph_host_01_pad ( w_uart_hostd_tx ), + // SPI (hostd) + .pad_periph_host_02_pad ( w_spi_hostd_sd[0] ), + .pad_periph_host_03_pad ( w_spi_hostd_sd[1] ), + .pad_periph_host_04_pad ( w_spi_hostd_sd[2] ), + .pad_periph_host_05_pad ( w_spi_hostd_sd[3] ), + .pad_periph_host_06_pad ( w_spi_hostd_sck ), + .pad_periph_host_07_pad ( w_spi_hostd_csb[0] ), + .pad_periph_host_08_pad ( w_spi_hostd_csb[1] ), + // I2C (hostd) + .pad_periph_host_09_pad ( w_i2c_hostd_sda ), + .pad_periph_host_10_pad ( w_i2c_hostd_scl ), + // Bootmode (safed) + .pad_periph_bootmode_safe_0_pad ( w_bootmode_safed[0] ), + .pad_periph_bootmode_safe_1_pad ( w_bootmode_safed[1] ), + // JTAG (safed) + .pad_periph_jtag_safe_tck_pad ( w_jtag_safed_tck ), + .pad_periph_jtag_safe_tms_pad ( w_jtag_safed_tms ), + .pad_periph_jtag_safe_tdi_pad ( w_jtag_safed_tdi ), + .pad_periph_jtag_safe_trstn_pad ( w_jtag_safed_trstn ), + .pad_periph_jtag_safe_tdo_pad ( w_jtag_safed_tdo ), + // Bootmode (secd) + .pad_periph_bootmode_secure_0_pad ( w_bootmode_secd[0] ), + .pad_periph_bootmode_secure_1_pad ( w_bootmode_secd[1] ), + // JTAG (secd) + .pad_periph_jtag_secure_tck_pad ( w_jtag_secd_tck ), + .pad_periph_jtag_secure_tms_pad ( w_jtag_secd_tms ), + .pad_periph_jtag_secure_tdi_pad ( w_jtag_secd_tdi ), + .pad_periph_jtag_secure_trstn_pad ( w_jtag_secd_trstn ), + .pad_periph_jtag_secure_tdo_pad ( w_jtag_secd_tdo ), + // SPI (secd) + .pad_periph_secure_00_pad ( w_spi_secd_sd[0] ), + .pad_periph_secure_01_pad ( w_spi_secd_sd[1] ), + .pad_periph_secure_02_pad ( w_spi_secd_sd[2] ), + .pad_periph_secure_03_pad ( w_spi_secd_sd[3] ), + .pad_periph_secure_04_pad ( w_spi_secd_sck ), + .pad_periph_secure_05_pad ( w_spi_secd_csb[0] ), + // UART (secd) ??? + .pad_periph_secure_06_pad ( w_uart_secd_rx ), + .pad_periph_secure_07_pad ( w_uart_secd_tx ), + // GPIOs + .pad_periph_gpio_00_pad ( w_gpio[0] ), + .pad_periph_gpio_01_pad ( w_gpio[1] ), + .pad_periph_gpio_02_pad ( w_gpio[2] ), + .pad_periph_gpio_03_pad ( w_gpio[3] ), + .pad_periph_gpio_04_pad ( w_gpio[4] ), + .pad_periph_gpio_05_pad ( w_gpio[5] ), + .pad_periph_gpio_06_pad ( w_gpio[6] ), + .pad_periph_gpio_07_pad ( w_gpio[7] ), + .pad_periph_gpio_08_pad ( w_gpio[8] ), + .pad_periph_gpio_09_pad ( w_gpio[9] ), + .pad_periph_gpio_10_pad ( w_gpio[10] ), + .pad_periph_gpio_11_pad ( w_gpio[11] ), + .pad_periph_gpio_12_pad ( w_gpio[12] ), + .pad_periph_gpio_13_pad ( w_gpio[13] ), + .pad_periph_gpio_14_pad ( w_gpio[14] ), + .pad_periph_gpio_15_pad ( w_gpio[15] ), + // CAN + .pad_periph_periph_00_pad ( w_can_tx ), + .pad_periph_periph_01_pad ( w_can_rx ), + // Ethernet + .pad_periph_periph_02_pad ( w_eth_rst ), + .pad_periph_periph_03_pad ( w_eth_txck ), + .pad_periph_periph_04_pad ( w_eth_txctl ), + .pad_periph_periph_05_pad ( w_eth_txd0 ), + .pad_periph_periph_06_pad ( w_eth_txd1 ), + .pad_periph_periph_07_pad ( w_eth_txd2 ), + .pad_periph_periph_08_pad ( w_eth_txd3 ), + .pad_periph_periph_09_pad ( w_eth_mdc ), + .pad_periph_periph_10_pad ( w_eth_md ), + .pad_periph_periph_11_pad ( w_eth_rxck ), + .pad_periph_periph_12_pad ( w_eth_rxctl ), + .pad_periph_periph_13_pad ( w_eth_rxd0 ), + .pad_periph_periph_14_pad ( w_eth_rxd1 ), + .pad_periph_periph_15_pad ( w_eth_rxd2 ), + .pad_periph_periph_16_pad ( w_eth_rxd3 ), + // Hyper0 + .pad_periph_hyper0_csn0_pad ( w_hyper_csn[0][0] ), + .pad_periph_hyper0_csn1_pad ( w_hyper_csn[0][1] ), + .pad_periph_hyper0_ck_pad ( w_hyper_ck[0] ), + .pad_periph_hyper0_ckn_pad ( w_hyper_ckn[0] ), + .pad_periph_hyper0_rwds_pad ( w_hyper_rwds[0] ), + .pad_periph_hyper0_rstn_pad ( w_hyper_resetn[0] ), + .pad_periph_hyper0_data0_pad ( w_hyper_dq[0][0] ), + .pad_periph_hyper0_data1_pad ( w_hyper_dq[0][1] ), + .pad_periph_hyper0_data2_pad ( w_hyper_dq[0][2] ), + .pad_periph_hyper0_data3_pad ( w_hyper_dq[0][3] ), + .pad_periph_hyper0_data4_pad ( w_hyper_dq[0][4] ), + .pad_periph_hyper0_data5_pad ( w_hyper_dq[0][5] ), + .pad_periph_hyper0_data6_pad ( w_hyper_dq[0][6] ), + .pad_periph_hyper0_data7_pad ( w_hyper_dq[0][7] ), + // Hyper1 + .pad_periph_hyper1_csn0_pad ( w_hyper_csn[1][0] ), + .pad_periph_hyper1_csn1_pad ( w_hyper_csn[1][1] ), + .pad_periph_hyper1_ck_pad ( w_hyper_ck[1] ), + .pad_periph_hyper1_ckn_pad ( w_hyper_ckn[1] ), + .pad_periph_hyper1_rwds_pad ( w_hyper_rwds[1] ), + .pad_periph_hyper1_rstn_pad ( w_hyper_resetn[1] ), + .pad_periph_hyper1_data0_pad ( w_hyper_dq[1][0] ), + .pad_periph_hyper1_data1_pad ( w_hyper_dq[1][1] ), + .pad_periph_hyper1_data2_pad ( w_hyper_dq[1][2] ), + .pad_periph_hyper1_data3_pad ( w_hyper_dq[1][3] ), + .pad_periph_hyper1_data4_pad ( w_hyper_dq[1][4] ), + .pad_periph_hyper1_data5_pad ( w_hyper_dq[1][5] ), + .pad_periph_hyper1_data6_pad ( w_hyper_dq[1][6] ), + .pad_periph_hyper1_data7_pad ( w_hyper_dq[1][7] ), + // Serial Link + .pad_periph_sl_clk_in_pad ( w_slink_hostd_rcv_clk_from_vip ), + .pad_periph_sl_data_in0_pad ( w_slink_hostd_from_vip[0] ), + .pad_periph_sl_data_in1_pad ( w_slink_hostd_from_vip[1] ), + .pad_periph_sl_data_in2_pad ( w_slink_hostd_from_vip[2] ), + .pad_periph_sl_data_in3_pad ( w_slink_hostd_from_vip[3] ), + .pad_periph_sl_data_in4_pad ( w_slink_hostd_from_vip[4] ), + .pad_periph_sl_data_in5_pad ( w_slink_hostd_from_vip[5] ), + .pad_periph_sl_data_in6_pad ( w_slink_hostd_from_vip[6] ), + .pad_periph_sl_data_in7_pad ( w_slink_hostd_from_vip[7] ), + .pad_periph_sl_clk_out_pad ( w_slink_hostd_rcv_clk_to_vip ), + .pad_periph_sl_data_out0_pad ( w_slink_hostd_to_vip[0] ), + .pad_periph_sl_data_out1_pad ( w_slink_hostd_to_vip[1] ), + .pad_periph_sl_data_out2_pad ( w_slink_hostd_to_vip[2] ), + .pad_periph_sl_data_out3_pad ( w_slink_hostd_to_vip[3] ), + .pad_periph_sl_data_out4_pad ( w_slink_hostd_to_vip[4] ), + .pad_periph_sl_data_out5_pad ( w_slink_hostd_to_vip[5] ), + .pad_periph_sl_data_out6_pad ( w_slink_hostd_to_vip[6] ), + .pad_periph_sl_data_out7_pad ( w_slink_hostd_to_vip[7] ) + ); + + pullup (w_i2c_hostd_sda); + pullup (w_i2c_hostd_scl); + + pullup (w_spih_hostd_sck); + + for (genvar i = 0; i < 4; ++i) begin : gen_spih_sd_io + pullup (w_spi_hostd_sd[i]); + end + + for (genvar i = 0; i < SpihNumCs; ++i) begin : gen_spih_cs_io + pullup (w_spi_hostd_csb[i]); + end + + for (genvar i = 0 ; i 5)) + $fatal(1,"More than 5 percent of difference between actual and desired frequency! %f vs %d", sampled_freq_pll_out, desired_freq); + else + $display("Desired: %f actual: %d", desired_freq, sampled_freq_pll_out); + endtask + + /////////////////// + // Generic tasks // + /////////////////// + + task passthrough_or_wait_for_secd_hw_init(); +`ifndef CARFIELD_CHIP_NETLIST + if ((secure_boot || !i_dut.i_carfield_soc.car_regs_hw2reg.security_island_isolate_status.d) && + i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_rv_core_ibex.fetch_enable != lc_ctrl_pkg::On) begin + $display("Wait for OT to boot..."); + wait (i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_rv_core_ibex.fetch_enable == lc_ctrl_pkg::On); + end +`endif + endtask + + task automatic slink_read_reg( + input doub_bt addr, + output word_bt data, + input int unsigned idle_cycles + ); + axi_data_t beats [$]; + #(ClkPeriodRef * idle_cycles); + chs_vip.slink_read_beats(addr, 2, 0, beats); + data = beats[0]; + endtask + +endmodule diff --git a/target/sim/src/carfield_synth_tb.sv b/target/sim/src/carfield_synth_tb.sv new file mode 100644 index 00000000..ffd40534 --- /dev/null +++ b/target/sim/src/carfield_synth_tb.sv @@ -0,0 +1,1369 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Nicole Narr +// Christopher Reinwardt +// Paul Scheffler +// Alessandro Ottaviano +// Maicol Ciani + +// Main testbench for carfield chip. It contains code sequences to boot the +// various islands standalone or in cooperation. + +module tb_carfield_chip; + + import uvm_pkg::*; + import carfield_pkg::*; + import cheshire_pkg::*; + + carfield_chip_fixture fix(); + + // cheshire + string chs_preload_elf; + string chs_boot_hex; + string llc_init_file; + logic [1:0] boot_mode; + logic [1:0] preload_mode; + bit [31:0] exit_code; + bit is_dram; + + // safety island + string safed_preload_elf; + logic safed_boot_mode; + bit [31:0] safed_exit_code; + bit safed_exit_status; + + localparam int unsigned SafetyIslandClkEnRegAddr = 32'h20010070; + localparam int unsigned SafetyIslandIsolateRegAddr = 32'h20010040; + localparam int unsigned SafetyIslandIsolateStatusRegAddr = 32'h20010058; + + // security island + string secd_preload_elf; + string secd_flash_vmem; + string secd_mem_4096; + string secd_mem_2048; + string secd_mem_512; + logic secd_boot_mode; + + // hyperbus + localparam int unsigned HyperbusTburstMax = 32'h20009008; + + // FP Spatz Cluster + string spatzd_preload_elf; + logic [1:0] spatzd_boot_mode; + bit [31:0] spatzd_exit_code; + bit spatzd_exit_status; + doub_bt spatzd_binary_entry; + doub_bt spatzd_reg_value; + + localparam int unsigned SpatzdClkEnRegAddr = 32'h2001007c; + localparam int unsigned SpatzdIsolateRegAddr = 32'h2001004c; + localparam int unsigned SpatzdIsolateStatusRegAddr = 32'h20010064; + + // pulp cluster + // Useful register addresses + localparam int unsigned CarL2StartAddr = 32'h7800_0000; + localparam int unsigned CarDramStartAddr = 32'h8000_0000; + localparam int unsigned PulpdNumCores = 12; + localparam int unsigned PulpdBootAddrL2 = CarL2StartAddr + 32'h8080; + localparam int unsigned PulpdBootAddrDram = CarDramStartAddr + 32'h8080; + localparam int unsigned PulpdBootAddr = 32'h50200040; + localparam int unsigned PulpdRetAddr = 32'h50200100; + localparam int unsigned CarSocCtrlPulpdClkEnRegAddr = 32'h20010078; + localparam int unsigned CarSocCtrlPulpdIsolateRegAddr = 32'h20010048; + localparam int unsigned CarSocCtrlPulpdIsolateStatusRegAddr = 32'h20010060; + localparam int unsigned CarSocCtrlPulpdFetchEnAddr = 32'h200100c0; + localparam int unsigned CarSocCtrlPulpdBootEnAddr = 32'h200100dc; + localparam int unsigned CarSocCtrlPulpdBusyAddr = 32'h200100e4; + localparam int unsigned CarSocCtrlPulpdEocAddr = 32'h200100e8; + // sim variables + string pulpd_preload_elf; + logic [1:0] pulpd_boot_mode; + bit [31:0] pulpd_exit_code; + bit [31:0] pulpd_ret_val; + doub_bt pulpd_binary_entry; + doub_bt pulpd_reg_value; + + // MailBox + parameter logic [31:0] CAR_MBOX_BASE = 32'h40000000; + parameter logic [31:0] CAR_NUM_MAILBOXES = 32'h25; + parameter logic [31:0] MBOX_INT_SND_STAT_OFFSET = 32'h00; + parameter logic [31:0] MBOX_INT_SND_SET_OFFSET = 32'h04; + parameter logic [31:0] MBOX_INT_SND_CLR_OFFSET = 32'h08; + parameter logic [31:0] MBOX_INT_SND_EN_OFFSET = 32'h0C; + parameter logic [31:0] MBOX_INT_RCV_STAT_OFFSET = 32'h40; + parameter logic [31:0] MBOX_INT_RCV_SET_OFFSET = 32'h44; + parameter logic [31:0] MBOX_INT_RCV_CLR_OFFSET = 32'h48; + parameter logic [31:0] MBOX_INT_RCV_EN_OFFSET = 32'h4C; + parameter logic [31:0] MBOX_LETTER0_OFFSET = 32'h80; + parameter logic [31:0] MBOX_LETTER1_OFFSET = 32'h84; + + parameter logic [31:0] MBOX_SPATZ_CORE0_ID = 32'h0; + parameter logic [31:0] MBOX_SPATZ_CORE1_ID = 32'h1; + + parameter int unsigned HyperRstCycles = 120100; + + logic [63:0] unused; + + // bypass pll + logic bypass_pll; + + // secure boot mode + logic secure_boot; + + // Decide whether to preload hyperram model at time 0 + logic hyp_user_preload; + + // timing format for $display("...$t..", $realtime) + initial begin : timing_format + $timeformat(-9, 0, "ns", 9); + end : timing_format + + initial begin + // Fetch plusargs or use safe (fail-fast) defaults + if (!$value$plusargs("BYPASS_PLL=%d", bypass_pll)) bypass_pll = 0; + if (!$value$plusargs("SECURE_BOOT=%d", secure_boot)) secure_boot = 0; + if (!$value$plusargs("CHS_BOOTMODE=%d", boot_mode)) boot_mode = 0; + if (!$value$plusargs("CHS_PRELMODE=%d", preload_mode)) preload_mode = 0; + if (!$value$plusargs("CHS_BINARY=%s", chs_preload_elf)) chs_preload_elf = ""; + if (!$value$plusargs("CHS_IMAGE=%s", chs_boot_hex)) chs_boot_hex = ""; + if (!$value$plusargs("LLC_INIT_FILE=%s", llc_init_file)) llc_init_file = ""; + + // PLL bypass + fix.set_bypass_pll(bypass_pll); + + // Set boot mode and preload boot image if there is one + fix.set_secure_boot(secure_boot); + fix.chs_vip.set_boot_mode(boot_mode); + fix.chs_vip.i2c_eeprom_preload(chs_boot_hex); + fix.chs_vip.spih_norflash_preload(chs_boot_hex); + + if (chs_preload_elf != "" || chs_boot_hex != "") begin + + // Wait for reset + fix.chs_vip.wait_for_reset(); + + $display("[TB] INFO: Initialize LLC data ways with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[0].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[1].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[2].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[3].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[4].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[5].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[6].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[7].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_0__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_1__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_2__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_3__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_4__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_5__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_6__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_7__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] INFO: Initialize L2 memory banks with random values."); +`ifdef CARFIELD_CHIP_NETLIST + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + +`ifndef CARFIELD_CHIP_NETLIST + // initialize the flash info partitions to random values + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); +`else + // initialize the flash info partitions to random values + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); +`endif + + // Writing max burst length in Hyperbus configuration registers to + // prevent the Verification IPs from triggering timing checks. + $display("[TB] INFO: Configuring Hyperbus through serial link."); + fix.chs_vip.slink_write_32(HyperbusTburstMax, 32'd128); + + // When Cheshire is offloading to safety island, the latter should be set in passive preloaded + // bootmode + fix.safed_vip.set_safed_boot_mode(safety_island_pkg::Preloaded); + // Preload in idle mode or wait for completion in autonomous boot + if (boot_mode == 0) begin + // Idle boot: preload with the specified mode + case (preload_mode) + 0: begin // JTAG + // Cheshire + is_dram = uvm_re_match("dram",chs_preload_elf); + if(~is_dram) begin + $display("Wait the hyperram"); + repeat(HyperRstCycles) +`ifndef CARFIELD_CHIP_NETLIST + @(posedge fix.i_dut.periph_clk); +`else + #10ns; +`endif + end + fix.chs_vip.jtag_init(); + fix.chs_vip.jtag_elf_run(chs_preload_elf); + fix.chs_vip.jtag_wait_for_eoc(exit_code); + end 1: begin // Standalone Serial Link passive preload + fix.chs_vip.slink_elf_run(chs_preload_elf); + fix.chs_vip.slink_wait_for_eoc(exit_code); + end 2: begin // Standalone UART passive preload + fix.chs_vip.uart_debug_elf_run_and_wait(chs_preload_elf, exit_code); + end 3: begin // Secure boot: Opentitan booting CVA6 + fix.chs_vip.slink_elf_preload(chs_preload_elf, unused); + fix.chs_vip.jtag_init(); + fix.chs_vip.jtag_wait_for_eoc(exit_code); + end default: begin + $fatal(1, "Unsupported preload mode %d (reserved)!", boot_mode); + end + endcase + end else if (boot_mode == 1) begin + $fatal(1, "Unsupported boot mode %d (SD Card)!", boot_mode); + end else begin + // Autonomous boot: Only poll return code + fix.chs_vip.jtag_init(); + fix.chs_vip.jtag_wait_for_eoc(exit_code); + end + + // Sample carfield's clock source frequencies (host, alt, periph) + //fix.sample_freq_debug_signals(); + + // Eventually wait for HWRoT to end initialization and assert Ibex's fetch enable + fix.passthrough_or_wait_for_secd_hw_init(); + + // Wait for the UART to finish reading the current byte + wait (fix.chs_vip.uart_reading_byte == 0); + + $finish; + end + end + + // safety island standalone + initial begin + // Fetch plusargs or use safe (fail-fast) defaults + if (!$value$plusargs("BYPASS_PLL=%d", bypass_pll)) bypass_pll = 0; + if (!$value$plusargs("SECURE_BOOT=%d", secure_boot)) secure_boot = 0; + if (!$value$plusargs("SAFED_BOOTMODE=%d", safed_boot_mode)) safed_boot_mode = 0; + if (!$value$plusargs("SAFED_BINARY=%s", safed_preload_elf)) safed_preload_elf = ""; + + // PLL bypass + fix.set_bypass_pll(bypass_pll); + + // set secure boot mode + fix.set_secure_boot(secure_boot); + + // set boot mode before reset + fix.safed_vip.set_safed_boot_mode(safed_boot_mode); + + if (safed_preload_elf != "") begin + + fix.safed_vip.safed_wait_for_reset(); + + $display("[TB] INFO: Initialize LLC data ways with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[0].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[1].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[2].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[3].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[4].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[5].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[6].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[7].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_0__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_1__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_2__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_3__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_4__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_5__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_6__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_7__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] INFO: Initialize L2 memory banks with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + // Writing max burst length in Hyperbus configuration registers to + // prevent the Verification IPs from triggering timing checks. + $display("[TB] INFO: Configuring Hyperbus through serial link."); + fix.safed_vip.axi_write_32(HyperbusTburstMax, 32'd128); + + $display("[TB] %t - Enabling safety island clock for stand-alone tests ", $realtime); + // Clock island after PoR + fix.safed_vip.axi_write_32(SafetyIslandClkEnRegAddr, 32'h1); + $display("[TB] %t - De-isolate safety island for stand-alone tests ", $realtime); + // De-isolate island after PoR + fix.safed_vip.axi_write_32(SafetyIslandIsolateRegAddr, 32'h0); + + case (safed_boot_mode) + 0: begin + fix.safed_vip.jtag_safed_init(); + fix.safed_vip.jtag_write_test(32'h6000_1000, 32'hABBA_ABBA); + fix.safed_vip.jtag_safed_elf_run(safed_preload_elf); + fix.safed_vip.jtag_safed_wait_for_eoc(safed_exit_code, safed_exit_status); + end 1: begin + fix.safed_vip.axi_safed_elf_run(safed_preload_elf); + fix.safed_vip.axi_safed_wait_for_eoc(safed_exit_code, safed_exit_status); + end default: begin + $fatal(1, "Unsupported boot mode %d (reserved)!", safed_boot_mode); + end + endcase + + $finish; + end + end + + // security island standalone + initial begin + // Fetch plusargs or use safe (fail-fast) defaults + if (!$value$plusargs("BYPASS_PLL=%d", bypass_pll)) bypass_pll = 0; + if (!$value$plusargs("SECURE_BOOT=%d", secure_boot)) secure_boot = 0; + if (!$value$plusargs("SECD_IMAGE=%s", secd_flash_vmem)) secd_flash_vmem = ""; + if (!$value$plusargs("SECD_BINARY=%s", secd_preload_elf)) secd_preload_elf = ""; + if (!$value$plusargs("SECD_MEM_4096=%s", secd_mem_4096 )) secd_mem_4096 = ""; + if (!$value$plusargs("SECD_MEM_2048=%s", secd_mem_2048 )) secd_mem_2048 = ""; + if (!$value$plusargs("SECD_MEM_512=%s" , secd_mem_512 )) secd_mem_512 = ""; + if (!$value$plusargs("SECD_BOOTMODE=%d", secd_boot_mode)) secd_boot_mode = 0; + + // PLL bypass + fix.set_bypass_pll(bypass_pll); + + // set secure boot mode + fix.set_secure_boot(secure_boot); + + // set bootmode + fix.secd_vip.set_secd_boot_mode(secd_boot_mode); + + if (secd_preload_elf != "" || secd_flash_vmem != "") begin + // Wait for reset + fix.chs_vip.wait_for_reset(); + + $display("[TB] INFO: Initialize LLC data ways with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[0].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[1].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[2].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[3].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[4].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[5].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[6].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[7].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_0__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_1__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_2__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_3__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_4__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_5__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_6__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_7__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] INFO: Initialize L2 memory banks with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + +`ifndef CARFIELD_CHIP_NETLIST + // initialize the flash info partitions to random values + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[0].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[0].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[1].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.gen_info_types[2].u_info_mem.ram_primitive.gen_2560w_76dw_8be.i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem.i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks[1].u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw.sram_bank_4096w_76dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); +`else + // initialize the flash info partitions to random values + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_512, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_512w76b_flash_info_cut.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_512x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_0__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_0__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_1__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_2048, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.gen_info_types_2__u_info_mem.ram_primitive.gen_2560w_76dw_8be_i_2048w76b_flash_info_cut.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); + $readmemh(secd_mem_4096, tb_carfield_chip.fix.i_dut.i_carfield_soc.gen_secure_subsystem_i_security_island.u_RoT.u_flash_ctrl.u_eflash.u_flash.gen_prim_flash_banks_1__u_prim_flash_bank.u_mem.ram_primitive.gen_32768w_76dw_sram_bank_4096w_76dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x76m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY ); +`endif + + // Writing max burst length in Hyperbus configuration registers to + // prevent the Verification IPs from triggering timing checks. + $display("[TB] INFO: Configuring Hyperbus through serial link."); + fix.chs_vip.slink_write_32(HyperbusTburstMax, 32'd128); + + case(secd_boot_mode) + 0: begin + // Wait before security island HW is initialized + repeat(10000) + @(posedge fix.ref_clk); + fix.secd_vip.debug_secd_module_init(); + fix.secd_vip.load_secd_binary(secd_preload_elf); + fix.secd_vip.jtag_secd_data_preload(); + fix.secd_vip.jtag_secd_wakeup(32'hE0000080); + fix.secd_vip.jtag_secd_wait_eoc(); + end 1: begin + fix.secd_vip.spih_norflash_preload(secd_flash_vmem); + repeat(10000) + @(posedge fix.ref_clk); + fix.secd_vip.jtag_secd_wait_eoc(); + end default: begin + $fatal(1, "Unsupported boot mode %d (reserved)!", safed_boot_mode); + end + endcase + end + end + + // pulp cluster standalone + initial begin + // Fetch plusargs or use safe (fail-fast) defaults + if (!$value$plusargs("BYPASS_PLL=%d", bypass_pll)) bypass_pll = 0; + if (!$value$plusargs("PULPD_BOOTMODE=%d", pulpd_boot_mode)) pulpd_boot_mode = 0; + if (!$value$plusargs("PULPD_BINARY=%s", pulpd_preload_elf)) pulpd_preload_elf = ""; + if (!$value$plusargs("HYP_USER_PRELOAD=%s", hyp_user_preload)) hyp_user_preload = 0; + + // PLL bypass + fix.set_bypass_pll(bypass_pll); + + // Wait for reset + fix.chs_vip.wait_for_reset(); + + if (pulpd_preload_elf != "") begin + + $display("[TB] INFO: Initialize LLC data ways with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[0].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[1].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[2].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[3].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[4].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[5].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[6].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[7].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_0__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_1__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_2__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_3__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_4__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_5__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_6__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_7__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] INFO: Initialize L2 memory banks with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] %t - Enabling PULP cluster clock for stand-alone tests ", $realtime); + // Clock island after PoR + fix.chs_vip.slink_write_32(CarSocCtrlPulpdClkEnRegAddr, 32'h1); + $display("[TB] %t - De-isolate PULP cluster for stand-alone tests ", $realtime); + // De-isolate island after PoR + fix.chs_vip.slink_write_32(CarSocCtrlPulpdIsolateRegAddr, 32'h0); + + case (pulpd_boot_mode) + 0: begin + // JTAG + $display("[JTAG PULPD] Init "); + fix.chs_vip.jtag_init(); + $display("[JTAG PULPD] Halt the core and load the binary to L2 "); + fix.chs_vip.jtag_elf_halt_load(pulpd_preload_elf, pulpd_binary_entry ); + + // boot + // Write bootaddress to each core + $display("[JTAG PULPD] Write PULP cluster boot address for each core"); + for (int c = 0; c < PulpdNumCores; c++) begin + fix.chs_vip.jtag_write_reg32(PulpdBootAddr + c*32'h4, PulpdBootAddrL2); + end + // Write boot enable + $display("[JTAG PULPD] Write PULP cluster boot enable"); + fix.chs_vip.jtag_write_reg32(CarSocCtrlPulpdBootEnAddr, 32'h1); + // Write fetch enable + $display("[JTAG PULPD] Write PULP cluster fetch enable"); + fix.chs_vip.jtag_write_reg32(CarSocCtrlPulpdFetchEnAddr, 32'h1); + + // Poll memory address for PULP EOC + fix.chs_vip.jtag_poll_bit0(CarSocCtrlPulpdEocAddr, pulpd_exit_code, 20); + fix.slink_read_reg(PulpdRetAddr, pulpd_ret_val, 20); + if (pulpd_ret_val[30:0] != 'h0) $error("[JTAG PULP] FAILED: return code %x", pulpd_ret_val); + else $display("[JTAG PULP] SUCCESS"); + end + + 1: begin + // serial link + + // preload + $display("[SLINK PULPD] Preload the binary to L2 "); + fix.chs_vip.slink_elf_preload(pulpd_preload_elf, pulpd_binary_entry); + + // boot + // Write bootaddress to each core + $display("[SLINK PULPD] Write PULP cluster boot address for each core"); + for (int c = 0; c < PulpdNumCores; c++) begin + fix.chs_vip.slink_write_32(PulpdBootAddr + c*32'h4, PulpdBootAddrL2); + end + // Write boot enable + $display("[SLINK PULPD] Write PULP cluster boot enable"); + fix.chs_vip.slink_write_32(CarSocCtrlPulpdBootEnAddr, 32'h1); + // Write fetch enable + $display("[SLINK PULPD] Write PULP cluster fetch enable"); + fix.chs_vip.slink_write_32(CarSocCtrlPulpdFetchEnAddr, 32'h1); + + // Poll memory address for PULP EOC + fix.chs_vip.slink_poll_bit0(CarSocCtrlPulpdEocAddr, pulpd_exit_code, 20); + fix.slink_read_reg(PulpdRetAddr, pulpd_ret_val, 20); + if (pulpd_ret_val[30:0] != 'h0) $error("[SLINK PULP] FAILED: return code %x", pulpd_ret_val); + else $display("[SLINK PULP] SUCCESS"); + end + default: begin + $fatal(1, "Unsupported boot mode %d (reserved)!", pulpd_boot_mode); + end + endcase + + $finish; + end + + // Fast preload of hyperram + if (hyp_user_preload != 0 && pulpd_preload_elf == "") begin + $warning( "[TB] - Instantly preload hyperram0 and hyperrram1 models at time 0. This preload \ + mode should be used for simulation only, because it does not check whether we can \ + preload the hyperram using physical interfaces, e.g., JTAG or SL. If there is enough \ + confidence physical interfaces are working correctly with a gate-level netlist, this \ + mode could be used to speed up the simulation, but at your own risk. You were \ + warned. \n"); + // Hyperrams models are preloaded at time 0. Preferably, this bootflow is used with cluster + // accelerators, but can be extended to other islands as well. We check the EOC with the JTAG + + $display("[TB] %t - Wait for HyperRAM", $realtime); + repeat(HyperRstCycles) +`ifndef CARFIELD_CHIP_NETLIST + @(posedge fix.i_dut.periph_clk); +`else + #10ns; +`endif + + $display("[TB] %t - Enabling PULP cluster clock for stand-alone tests ", $realtime); + // Clock island after PoR + fix.chs_vip.slink_write_32(CarSocCtrlPulpdClkEnRegAddr, 32'h1); + $display("[TB] %t - De-isolate PULP cluster for stand-alone tests ", $realtime); + // De-isolate island after PoR + fix.chs_vip.slink_write_32(CarSocCtrlPulpdIsolateRegAddr, 32'h0); + + // Write bootaddress to each core + $display("[SLINK PULPD] Write PULP cluster boot address for each core"); + for (int c = 0; c < PulpdNumCores; c++) begin + fix.chs_vip.slink_write_32(PulpdBootAddr + c*32'h4, PulpdBootAddrDram); + end + // Write boot enable + $display("[SLINK PULPD] Write PULP cluster boot enable"); + fix.chs_vip.slink_write_32(CarSocCtrlPulpdBootEnAddr, 32'h1); + // Write fetch enable + $display("[SLINK PULPD] Write PULP cluster fetch enable"); + fix.chs_vip.slink_write_32(CarSocCtrlPulpdFetchEnAddr, 32'h1); + + // Poll memory address for PULP EOC + fix.chs_vip.slink_poll_bit0(CarSocCtrlPulpdEocAddr, pulpd_exit_code, 20); + fix.slink_read_reg(PulpdRetAddr, pulpd_ret_val, 20); + if (pulpd_ret_val[30:0] != 'h0) $error("[JTAG PULP] FAILED: return code %x", pulpd_ret_val); + else $display("[SLINK PULP] SUCCESS"); + + $finish; + end + end + + // spatz cluster standalone + initial begin + // Fetch plusargs or use safe (fail-fast) defaults + if (!$value$plusargs("BYPASS_PLL=%d", bypass_pll)) bypass_pll = 0; + if (!$value$plusargs("SECURE_BOOT=%d", secure_boot)) secure_boot = 0; + if (!$value$plusargs("SPATZD_BOOTMODE=%d", spatzd_boot_mode)) spatzd_boot_mode = 0; + if (!$value$plusargs("SPATZD_BINARY=%s", spatzd_preload_elf)) spatzd_preload_elf = ""; + + // PLL bypass + fix.set_bypass_pll(bypass_pll); + + // set secure boot mode + fix.set_secure_boot(secure_boot); + + if (spatzd_preload_elf != "") begin + + // Wait for reset + fix.chs_vip.wait_for_reset(); + + $display("[TB] INFO: Initialize LLC data ways with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[0].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[1].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[2].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[3].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[4].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[5].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[6].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc.i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways[7].i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be.sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_0__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_1__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_2__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_3__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_4__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_5__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_6__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh(llc_init_file, tb_carfield_chip.fix.i_dut.i_carfield_soc.i_cheshire_wrap.i_cheshire_soc.gen_llc_i_llc.i_axi_llc_top_raw.i_llc_ways.gen_data_ways_7__i_data_way.i_data_sram.i_data_sram.gen_2048w_64dw_8be_sram_bank_2048w_64dw.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_2048x64m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + $display("[TB] INFO: Initialize L2 memory banks with random values."); +`ifndef CARFIELD_CHIP_NETLIST + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[0].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[0].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[0].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[1].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[2].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[3].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[4].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[5].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[6].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[7].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[8].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[9].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[10].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[11].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[12].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[13].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[14].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group[1].i_car_l2_bank_group.genblk1[1].i_ecc_sram_wrap.i_bank.gen_65536w_39dw.sram_bank_4096w_39dw_cut[15].i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`else + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_0__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_0__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_0__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_1__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_2__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_3__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_4__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_5__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_6__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_7__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_8__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_9__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_10__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_11__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_12__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_13__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_14__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); + $readmemh("/usr/scratch2/fenga9/lbertaccini/carfield-pd-mr/l2_init_file.vmem", tb_carfield_chip.fix.i_dut.i_carfield_soc.i_reconfigurable_l2.i_l2_top.gen_bank_group_1__i_car_l2_bank_group.genblk1_1__i_ecc_sram_wrap.i_bank.gen_65536w_39dw_sram_bank_4096w_39dw_cut_15__i_cut.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_bmod.ip224uhdlp1p11rf_4096x39m4b2c1s1_t0r0p0d0a1m1h_array.DATA_ARRAY); +`endif + + // Writing max burst length in Hyperbus configuration registers to + // prevent the Verification IPs from triggering timing checks. + $display("[TB] INFO: Configuring Hyperbus through serial link."); + fix.chs_vip.slink_write_32(HyperbusTburstMax, 32'd128); + + $display("[TB] %t - Enabling spatz clock for stand-alone tests ", $realtime); + // Clock island after PoR + fix.chs_vip.slink_write_32(SpatzdClkEnRegAddr, 32'h1); + $display("[TB] %t - De-isolate spatz for stand-alone tests ", $realtime); + // De-isolate island after PoR + fix.chs_vip.slink_write_32(SpatzdIsolateRegAddr, 32'h0); + + case (spatzd_boot_mode) + 0: begin + // JTAG + $display("[JTAG SPATZD] Init "); + fix.chs_vip.jtag_init(); + $display("[JTAG SPATZD] Halt the core and load the binary to L2 "); + fix.chs_vip.jtag_elf_halt_load(spatzd_preload_elf, spatzd_binary_entry ); + + // write start address into the csr + $display("[JTAG SPATZD] write the CSR %x of spatz with the entry point %x", spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_BOOT_CONTROL_OFFSET, spatzd_binary_entry); + fix.chs_vip.jtag_write_reg(spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_BOOT_CONTROL_OFFSET, spatzd_binary_entry ); + + // Set interrupt on mailbox mailbox id MBOX_SPATZD_CORE0_ID and MBOX_SPATZD_CORE1_ID + spatzd_reg_value = 64'h1; + $display("[JTAG SPATZD] Set mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100)); + fix.chs_vip.jtag_write_reg32(CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) , spatzd_reg_value); + + $display("[JTAG SPATZD] Set mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE1_ID, CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100)); + fix.chs_vip.jtag_write_reg32(CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) , spatzd_reg_value); + + // Enable interrupt on mailbox id MBOX_SPATZ_CORE0_ID and MBOX_SPATZ_CORE1_ID + $display("[JTAG SPATZD] Enable mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) ,spatzd_reg_value); + fix.chs_vip.jtag_write_reg32(CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) , spatzd_reg_value); + + $display("[JTAG SPATZD] Enable mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE1_ID, CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) ,spatzd_reg_value); + fix.chs_vip.jtag_write_reg32(CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) , spatzd_reg_value); + + // Poll memory address for Spatz EOC + fix.chs_vip.jtag_poll_bit0(spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_EOC_EXIT_OFFSET, spatzd_exit_code, 20); + spatzd_exit_code >>= 1; + if (spatzd_exit_code) $error("[JTAG SPATZ] FAILED: return code %0d", spatzd_exit_code); + else $display("[JTAG SPATZD] SUCCESS"); + end + + 1: begin + // SERIAL LINK + $display("[SLINK SPATZD] Preload the binary to L2 "); + fix.chs_vip.slink_elf_preload(spatzd_preload_elf, spatzd_binary_entry); + + // write start address into the csr + $display("[SLINK SPATZD] Write the CSR %x of spatz with the entry point %x", spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_BOOT_CONTROL_OFFSET, spatzd_binary_entry); + fix.chs_vip.slink_write_32(spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_BOOT_CONTROL_OFFSET, spatzd_binary_entry); + + // Set interrupt on mailbox ids MBOX_SPATZ_CORE0_ID and MBOX_SPATZ_CORE1_ID + spatzd_reg_value = 64'h1; + $display("[SLINK SPATZD] Set mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100)); + fix.chs_vip.slink_write_32(CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) , spatzd_reg_value); + + $display("[SLINK SPATZD] Set mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100)); + fix.chs_vip.slink_write_32(CAR_MBOX_BASE + MBOX_INT_SND_SET_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) , spatzd_reg_value); + + // Enable interrupt on mailbox ids MBOX_SPATZ_CORE0_ID and MBOX_SPATZ_CORE1_ID + $display("[SLINK SPATZD] Enable mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) ,spatzd_reg_value); + fix.chs_vip.slink_write_32(CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE0_ID*32'h100) , spatzd_reg_value); + + $display("[SLINK SPATZD] Enable mailbox interrupt ID %x at %x ",MBOX_SPATZ_CORE0_ID, CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) ,spatzd_reg_value); + fix.chs_vip.slink_write_32(CAR_MBOX_BASE + MBOX_INT_SND_EN_OFFSET + (MBOX_SPATZ_CORE1_ID*32'h100) , spatzd_reg_value); + + // Poll memory address for Spatz EOC + fix.chs_vip.slink_poll_bit0(spatz_cluster_pkg::PeriStartAddr + spatz_cluster_peripheral_reg_pkg::SPATZ_CLUSTER_PERIPHERAL_CLUSTER_EOC_EXIT_OFFSET, spatzd_exit_code, 20); + spatzd_exit_code >>= 1; + if (spatzd_exit_code) $error("[SLINK SPATZ] FAILED: return code %0d", spatzd_exit_code); + else $display("[SLINK SPATZ] SUCCESS"); + end + + default: begin + $fatal(1, "Unsupported boot mode %d (reserved)!", spatzd_boot_mode); + end + endcase + $finish; + end + end + +endmodule +