-
Notifications
You must be signed in to change notification settings - Fork 2
/
Quadrature_decoder_wrapper.vhd
55 lines (44 loc) · 1.58 KB
/
Quadrature_decoder_wrapper.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version : 14.7
-- \ \ Application :
-- / / Filename : xil_12112_30
-- /___/ /\ Timestamp : 09/23/2014 15:24:59
-- \ \ / \
-- \___\/\___\
--
--Command:
--Design Name:
--
-- This file just wraps a schematic based symbol with a VHDL wrapper so it will show up in the unused module libaries section instead of the top level in ISE Navigator.
library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
library UNISIM;
use UNISIM.Vcomponents.ALL;
library DesignLab;
use DesignLab.ALL;
entity Quadrature_decoder_wrapper is
port ( wishbone_in : in std_logic_vector (100 downto 0);
wishbone_out : out std_logic_vector (100 downto 0);
--Put your external connections here
leds : out std_logic_vector(3 downto 0);
buttons : in std_logic_vector(3 downto 0)
);
end Quadrature_decoder_wrapper;
architecture BEHAVIORAL of Quadrature_decoder_wrapper is
COMPONENT Quadrature_decoder
PORT( wishbone_out : OUT STD_LOGIC_VECTOR (100 DOWNTO 0);
wishbone_in : IN STD_LOGIC_VECTOR (100 DOWNTO 0);
leds : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
buttons : IN STD_LOGIC_VECTOR (3 DOWNTO 0));
END COMPONENT;
begin
VHDL_Wrapper: Quadrature_decoder PORT MAP(
leds => OPEN
);
end BEHAVIORAL;