Skip to content
This repository has been archived by the owner on Mar 9, 2023. It is now read-only.

The QMTECH board has a 50MHz crystal clock, whereas Genesys-2 has 200MHz #3

Open
jrrk2 opened this issue Feb 2, 2022 · 4 comments
Open
Assignees
Labels
question Further information is requested

Comments

@jrrk2
Copy link
Contributor

jrrk2 commented Feb 2, 2022

No description provided.

@jrrk2 jrrk2 self-assigned this Feb 2, 2022
@hansfbaier
Copy link
Collaborator

I used the 60MHz ULPI clock as input for the example file.
I didn't really know how I would deal with a differential clock at that point in time.

@unbtorsten
Copy link
Contributor

unbtorsten commented Feb 2, 2022

In general, you could set it up like this

module top (
  input clkin,
  input clkinb,
  output [7:0] led
);

  wire clk;
  IBUFDS ibuf_i(.I(clkin), .IB(clkinb), .O(clk));

  reg [28:0] ctr;

  always @(posedge clk) begin
    ctr <= ctr + 1'b1;
  end

  assign led = ctr[28:22];
endmodule

With constraints

set_property IOSTANDARD LVDS [get_ports {clkin}]
set_property IOSTANDARD LVDS [get_ports {clkinb}]
set_property PACKAGE_PIN AD12 [get_ports {clkin}]
set_property PACKAGE_PIN AD11 [get_ports {clkinb}]

Technically, one should be using IBUFGDS instead of IBUFDS, but nextpnr does not seem to like that at this time.

@jrrk2
Copy link
Contributor Author

jrrk2 commented Feb 2, 2022

This is moot at the moment because none of the signals in bank 14 on the Genesys2 connect to a steady clock source. Possibly JTAG_CLK could be used from the external USB to JTAG converter.

@unbtorsten unbtorsten added the question Further information is requested label Feb 20, 2022
@hansfbaier
Copy link
Collaborator

This should be fixed now, I committed a working example for the STLV7325 board, and would leave the adaptation and test for the Kintex board to you.

Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
question Further information is requested
Projects
None yet
Development

No branches or pull requests

3 participants