-
Notifications
You must be signed in to change notification settings - Fork 8
/
Copy pathdma_axi_pkg.sv
70 lines (55 loc) · 1.95 KB
/
dma_axi_pkg.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
`ifndef DMA_AXI_PKG_SV
`define DMA_AXI_PKG_SV
`include "axi_data_types_pkg.sv"
`include "dma_axi_if.sv"
`include "dma_apb_config_if.sv"
package dma_axi_pkg;
import uvm_pkg::*;
import axi_data_types_pkg::*;
`include "uvm_macros.svh"
typedef virtual dma_axi_if dma_axi_vif;
typedef virtual dma_apb_config_if dma_apb_config_vif;
`include "empty_configuration.svh"
`include "empty_status.svh"
`include "delay_configuration.svh"
`include "dma_axi_configuration.svh"
`include "dma_axi_status.svh"
`include "component_proxy.svh"
`include "object_base.svh"
`include "sequence_item_base.svh"
`include "axi_item.svh"
`include "axi_payload.svh"
`include "component_base.svh"
`include "axi_component_base.svh"
`include "item_subscriber.svh"
`include "sequencer_base.svh"
`include "axi_sequencer_base.svh"
`include "dma_axi_master_sequencer.sv"
`include "dma_axi_slave_sequencer.svh"
`include "sequence_base.svh"
`include "axi_sequence_base.svh"
`include "dma_axi_master_sequence_base.sv"
`include "dma_axi_master_access_sequence.sv"
`include "dma_axi_master_read_sequence.sv"
`include "dma_axi_master_write_sequence.sv"
`include "dma_axi_slave_sequence_base.svh"
`include "dma_axi_slave_default_sequence.sv"
`include "axi_driver_base.svh"
`include "dma_axi_master_driver.sv"
`include "dma_axi_slave_driver.sv"
`include "memory_base.svh"
`include "dma_axi_memory.svh"
`include "monitor_base.svh"
`include "reactive_monitor_base.svh"
`include "axi_monitor_base.svh"
`include "dma_axi_slave_monitor.sv"
`include "dma_axi_slave_data_monitor.sv"
`include "dma_axi_master_monitor.sv"
`include "apb_item.svh"
`include "scoreboard_base.sv"
`include "dma_axi_sb.sv"
`include "axi_agent_base.svh"
`include "dma_axi_master_agent.sv"
`include "dma_axi_slave_agent.sv"
endpackage : dma_axi_pkg
`endif