Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Verilog and VHDL parser issues #12

Open
nvzach opened this issue Oct 2, 2018 · 0 comments
Open

Verilog and VHDL parser issues #12

nvzach opened this issue Oct 2, 2018 · 0 comments

Comments

@nvzach
Copy link

nvzach commented Oct 2, 2018

Hi Kevin,

This is a good parser but it lacks a few things and since I am new to python I have struggled to make the necessary changes to fix these problems. I have used your examples to parse verilog and VHDL and here's what I have observed:

  1. Verilog 95 style ports not supported
  2. How do I print the parameter value - the example doesn't do it.
  3. Support for multidimensional ports - barfs after the initial array type declaration. For example a port declared below cannot be parsed effectively.
    output logic [31:0][2:0] xyz
  4. If parameters are declared as arrays like the following they cannot be understood:
    parameter ADDR_RANGE = 32'h00002000,
    parameter integer DATA_WIDTH_ARRAY [5:0] = '{64, 64, 64, 64, 64, 64},
  5. Cannot print generics value in VHDL example provided.

Would it be difficult adding/fixing these features.

Thanks

NZ

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant