diff --git a/.dvt/build.config.xml b/.dvt/build.config.xml new file mode 100644 index 000000000..a8b4e831d --- /dev/null +++ b/.dvt/build.config.xml @@ -0,0 +1,7 @@ + + + default + + + + diff --git a/.dvt/default.build b/.dvt/default.build new file mode 100644 index 000000000..c94a09892 --- /dev/null +++ b/.dvt/default.build @@ -0,0 +1,3 @@ +# Automatically identify and compile all the source files in the project directory. +# DO NOT explicitly list files/directories in 'dvt_init_auto' sections. Use 'dvt_init' sections instead. ++dvt_init_auto diff --git a/.dvt/default.build.auto.1 b/.dvt/default.build.auto.1 new file mode 100644 index 000000000..b15d28a4e --- /dev/null +++ b/.dvt/default.build.auto.1 @@ -0,0 +1,1136 @@ +################################################################################ +# DO NOT MANUALLY EDIT THIS FILE! # +################################################################################ +# This file contains the automatically generated build configuration (2025/01/13 14:41:21) +# requested at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build + + +################ Verilog Auto-config Directives ################ ++dvt_init + +-uvm ++incdir+src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences ++incdir+src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests ++incdir+src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg ++incdir+src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg ++incdir+src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg ++incdir+src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences ++incdir+src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests ++incdir+src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg ++incdir+src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg ++incdir+src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg ++incdir+src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences ++incdir+src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests ++incdir+src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg ++incdir+src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg ++incdir+src/libs/uvmf/qvip_apb5_slave_dir/config_policies ++incdir+src/libs/uvmf/qvip_apb5_slave_dir/uvmf ++incdir+src/pcrvault/rtl ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg ++incdir+src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg ++incdir+src/riscv_core/veer_el2/rtl/include ++incdir+src/riscv_core/veer_el2/rtl + +### AUTOCONFIG WARNING: Multiple incdir candidates. +# The following includes have multiple incdir candidates: +#`include "dasm.svi" in caliptra_top_tb_services.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv) +#`include "dasm.svi" in el2_veer_wrapper_tb.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv) +# The list of incdir candidates is: ++incdir+src/integration/tb +# +incdir+src/riscv_core/veer_el2/tb + ++incdir+src/keyvault/rtl ++incdir+src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences ++incdir+src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests ++incdir+src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg ++incdir+src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg ++incdir+src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg ++incdir+src/soc_ifc/rtl ++incdir+src/soc_ifc/tb ++incdir+src/integration/rtl ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers ++incdir+src/libs/rtl ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg ++incdir+src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg ++incdir+src/caliptra_prim/rtl + +### AUTOCONFIG WARNING: Multiple incdir candidates. +# The following includes have multiple incdir candidates: +#`include "ahb_lite_slave_0_config_policy.svh" in qvip_ahb_lite_slave_params_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv) +#`include "ahb_lite_slave_0_config_policy.svh" in qvip_ahb_lite_slave_params_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv) +# The list of incdir candidates is: ++incdir+src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies +# +incdir+submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies + ++incdir+submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb + +### AUTOCONFIG WARNING: Multiple incdir candidates. +# The following includes have multiple incdir candidates: +#`include "qvip_ahb_lite_slave_env_configuration.svh" in qvip_ahb_lite_slave_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv) +#`include "qvip_ahb_lite_slave_environment.svh" in qvip_ahb_lite_slave_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv) +#`include "qvip_ahb_lite_slave_env_configuration.svh" in qvip_ahb_lite_slave_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv) +#`include "qvip_ahb_lite_slave_environment.svh" in qvip_ahb_lite_slave_pkg.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv) +# The list of incdir candidates is: ++incdir+src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf +# +incdir+submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf + ++incdir+submodules/adams-bridge/src/abr_sha3/tb ++incdir+submodules/adams-bridge/src/abr_libs/rtl ++incdir+submodules/adams-bridge/src/abr_prim/rtl ++incdir+submodules/adams-bridge/src/mldsa_top/rtl ++incdir+submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences ++incdir+submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests ++incdir+submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg ++incdir+submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers + +### AUTOCONFIG ERROR: Unresolved includes +# The following includes could not be resolved: +#`include "aes_clp_reg_covergroups.svh" in aes_clp_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv) +#`include "aes_clp_reg_sample.svh" in aes_clp_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv) +#`include "axi_dma_reg_covergroups.svh" in axi_dma_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv) +#`include "axi_dma_reg_sample.svh" in axi_dma_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv) +#`include "dv_reg_covergroups.svh" in dv_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv) +#`include "dv_reg_sample.svh" in dv_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv) +#`include "doe_reg_covergroups.svh" in doe_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv) +#`include "doe_reg_sample.svh" in doe_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv) +#`include "ecc_reg_covergroups.svh" in ecc_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv) +#`include "ecc_reg_sample.svh" in ecc_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv) +#`include "hmac_reg_covergroups.svh" in hmac_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv) +#`include "hmac_reg_sample.svh" in hmac_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv) +#`include "sha256_reg_covergroups.svh" in sha256_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv) +#`include "sha256_reg_sample.svh" in sha256_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv) +#`include "sha512_reg_covergroups.svh" in sha512_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv) +#`include "sha512_reg_sample.svh" in sha512_reg_uvm.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv) +#`include "{{get_class_name(node)}}_covergroups.svh" in main.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv) +#`include "{{get_class_name(node)}}_sample.svh" in main.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv) +#`include "{{get_class_name(node)}}_covergroups.svh" in main.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv) +#`include "{{get_class_name(node)}}_sample.svh" in main.sv (/home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv) + +### AUTOCONFIG WARNING: Missing macro definitions +# The following macros are used but not defined: +# +define+m_uvm_get_type_name_func +# +define+USER_ICG +# +define+SOC_IFC_REG_CPTRA_MBOX_VALID_PAUSER_0 +# +define+SOC_IFC_REG_CPTRA_MBOX_PAUSER_LOCK_0 +# +define+SOC_IFC_REG_CPTRA_TRNG_VALID_PAUSER +# +define+SOC_IFC_REG_CPTRA_TRNG_PAUSER_LOCK +# +define+SOC_IFC_REG_CPTRA_FUSE_VALID_PAUSER +# +define+SOC_IFC_REG_CPTRA_FUSE_PAUSER_LOCK +# +define+SOC_IFC_REG_CPTRA_HW_CONFIG_QSPI_EN_MASK +# +define+SOC_IFC_REG_CPTRA_HW_CONFIG_I3C_EN_MASK +# +define+SOC_IFC_REG_CPTRA_HW_CONFIG_UART_EN_MASK +# +define+SOC_IFC_REG_CPTRA_FLOW_STATUS_READY_FOR_FW_MASK +# +define+SOC_IFC_REG_CPTRA_MBOX_PAUSER_LOCK_0_LOCK_MASK +# +define+SOC_IFC_REG_CPTRA_TRNG_PAUSER_LOCK_LOCK_MASK +# +define+SOC_IFC_REG_CPTRA_FUSE_PAUSER_LOCK_LOCK_MASK +# +define+SOC_IFC_REG_FUSE_KEY_MANIFEST_PK_HASH_MASK_MASK_MASK +# +define+SOC_IFC_REG_FUSE_LMS_VERIFY_LMS_VERIFY_MASK +# +define+CALIPTRA_SLAVE_SEL_I3C +# +define+CALIPTRA_SLAVE_SEL_UART +# +define+CALIPTRA_SLAVE_SEL_QSPI +# +define+CALIPTRA_APB_DATA_WIDTH +# +define+CALIPTRA_APB_USER_WIDTH +# +define+CALIPTRA_AXI_SUB_AW +# +define+CALIPTRA_AXI_SUB_DW +# +define+CALIPTRA_AXI_SUB_UW +# +define+CALIPTRA_AXI_SUB_IW +# +define+CALIPTRA_AXI_SUB_C_LAT +# +define+CALIPTRA_AXI_SUB_EX_EN +# +define+USER_EC_RV_ICG + +# Available `ifdef / `ifndef controls: +# +define+ABR_ASSERT_ON +# +define+ABR_INC_ASSERT +# +define+ABR_SIMULATION +# +define+ABR_SVA +# +define+BP_NOGSHARE +# +define+CALIPTRA +# +define+CALIPTRA_AXI_SUB_AW +# +define+CALIPTRA_AXI_SUB_C_LAT +# +define+CALIPTRA_AXI_SUB_DW +# +define+CALIPTRA_AXI_SUB_EX_EN +# +define+CALIPTRA_AXI_SUB_IW +# +define+CALIPTRA_AXI_SUB_UW +# +define+CALIPTRA_DEBUG_UNLOCKED +# +define+CALIPTRA_FORCE_CPU_RESET +# +define+CALIPTRA_INC_ASSERT +# +define+CALIPTRA_INTERNAL_TRNG +# +define+CALIPTRA_MODE_SUBSYSTEM +# +define+CALIPTRA_SIMULATION +# +define+CALIPTRA_SVA +# +define+CBC_BIND +# +define+CLP_ASSERT_ON +# +define+DUMP_BTB_ON +# +define+FCOV +# +define+FOR48 +# +define+FORMAL +# +define+FPV_ALERT_NO_SIGINT_ERR +# +define+FPV_ON +# +define+FPV_SEC_CM_ON +# +define+GTLSIM +# +define+INC_ASSERT +# +define+INC_CALIPTRA_ASSERT +# +define+MLDSA_MASKING +# +define+PRIM_ASSERT_SEC_CM_SVH +# +define+PRIM_ASSERT_SV +# +define+PRIM_DEFAULT_IMPL +# +define+PRIM_FLOP_MACROS_SV +# +define+QUESTA +# +define+REDUCED_PM_CTRL +# +define+RV_ASSERT_ON +# +define+RV_BUILD_AHB_LITE +# +define+RV_BUILD_AXI4 +# +define+RV_CLOCKGATE +# +define+RV_DCCM_ENABLE +# +define+RV_DCCM_NUM_BANKS_2 +# +define+RV_DCCM_NUM_BANKS_4 +# +define+RV_DCCM_NUM_BANKS_8 +# +define+RV_FPGA_OPTIMIZE +# +define+RV_FPGA_SCA +# +define+RV_ICCM_ENABLE +# +define+RV_ICCM_NUM_BANKS_16 +# +define+RV_ICCM_NUM_BANKS_4 +# +define+RV_ICCM_NUM_BANKS_8 +# +define+RV_PHYSICAL +# +define+RV_SMEPMP +# +define+RV_USER_MODE +# +define+SKIDBUFFER +# +define+SYNTHESIS +# +define+TECH_SPECIFIC_EC_RV_ICG +# +define+TECH_SPECIFIC_ICG +# +define+TOP +# +define+UVM +# +define+UVMF_CALIPTRA_TOP +# +define+VERIFIC +# +define+VERILATOR +# +define+XCELIUM +# +define+XRTL +# +define+__ECC_NORMAL_SEQUENCE +# +define+__ECC_OTF_RESET_SEQUENCE + +src/soc_ifc/rtl/caliptra_top_reg_defines.svh +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_params_pkg.sv +submodules/adams-bridge/src/decompose/rtl/decompose_defines_pkg.sv +src/pcrvault/rtl/pv_defines_pkg.sv +src/keyvault/rtl/kv_defines_pkg.sv +src/ecc/rtl/ecc_params_pkg.sv +src/ecc/rtl/ecc_dsa_uop_pkg.sv +src/datavault/rtl/dv_defines_pkg.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_pkg.sv +submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_pkg.sv +src/uart/rtl/uart_reg_pkg.sv +src/spi_host/rtl/spi_host_reg_pkg.sv +src/spi_host/rtl/spi_host_cmd_pkg.sv +src/soc_ifc/rtl/soc_ifc_reg_pkg.sv +src/soc_ifc/rtl/mbox_pkg.sv +src/soc_ifc/rtl/mbox_csr_pkg.sv +src/pcrvault/rtl/pv_reg_pkg.sv +src/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv +src/kmac/rtl/sha3_pkg.sv +src/keyvault/rtl/kv_reg_pkg.sv +src/entropy_src/rtl/entropy_src_reg_pkg.sv +src/entropy_src/rtl/entropy_src_pkg.sv +src/ecc/rtl/ecc_reg_pkg.sv +src/datavault/rtl/dv_reg_pkg.sv +src/csrng/rtl/csrng_reg_pkg.sv +src/csrng/rtl/csrng_pkg.sv +src/caliptra_tlul/rtl/caliptra_tlul_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_trivium_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv +src/axi/rtl/axi_pkg.sv +src/axi/rtl/axi_dma_reg_pkg.sv +src/aes/rtl/aes_sbox_canright_pkg.sv +src/aes/rtl/aes_reg_pkg.sv +src/aes/rtl/aes_pkg.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_ram.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_be_ram.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_512x4_ram.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg_hdl.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg_hdl.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg_hdl.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg_hdl.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg_hdl.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg_hdl.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg_hdl.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg_hdl.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg_hdl.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg_hdl.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg_hdl.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg_hdl.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg_hdl.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg_hdl.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg_hdl.sv +src/pcrvault/rtl/pv_gen_hash.sv +src/soc_ifc/rtl/soc_ifc_reg_uvm.sv +src/soc_ifc/rtl/sha512_acc_csr_uvm.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_defines_pkg.sv +submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_pkg.sv +src/doe/rtl/doe_defines_pkg.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/parameters/caliptra_top_parameters_pkg.sv +src/pcrvault/rtl/pv.sv +src/keyvault/rtl/kv.sv +src/datavault/rtl/dv.sv +src/sha512/rtl/sha512_ctrl.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl_pkg.sv +src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv +submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv +src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv +src/libs/rtl/caliptra_icg.sv +src/libs/rtl/clk_gate.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_icg.sv +src/integration/rtl/caliptra_top.sv +src/integration/asserts/caliptra_top_sva.sv +src/lc_ctrl/rtl/lc_ctrl_state_pkg.sv +src/doe/rtl/doe_ctrl.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg.sv +src/soc_ifc/rtl/mbox_csr_uvm.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv +submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv +submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_receiver.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_sender.sv +submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop_en.sv +submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop.sv +submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_buf.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_flop.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_buf.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_reg_we_check.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_packer_fifo.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync_cnt.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_dom_and_2share.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_diff_decode.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_slicer.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_intr_hw.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_count.sv +submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3.sv +submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_2share.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_flop.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi8_sync.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi4_sync.sv +submodules/adams-bridge/src/decompose/rtl/decompose.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lfsr.sv +submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv +submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3pad.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv +src/axi/rtl/axi_sub_wr.sv +src/aes/rtl/aes_cipher_control.sv +src/caliptra_prim/rtl/caliptra_prim_alert_receiver.sv +src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv +src/ahb_lite_bus/rtl/ahb_lite_2to1_mux.sv +src/soc_ifc/rtl/soc_ifc_boot_fsm.sv +src/soc_ifc/rtl/mbox.sv +src/soc_ifc/rtl/soc_ifc_top.sv +src/ecc/tb/ecc_top_tb.sv +src/sha256/tb/sha256_random_test.sv +src/sha512/tb/sha512_ctrl_32bit_tb.sv +src/integration/tb/caliptra_top_tb.sv +src/integration/tb/caliptra_top_tb_services.sv +src/integration/tb/caliptra_top_tb_soc_bfm.sv +src/soc_ifc/rtl/soc_ifc_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg.sv +src/axi/rtl/axi_sub_rd.sv +src/caliptra_prim/rtl/caliptra_prim_alert_sender.sv +src/axi/rtl/axi_sub_arb.sv +src/axi/rtl/axi_mgr_wr.sv +src/axi/rtl/axi_mgr_rd.sv +src/aes/rtl/aes_sel_buf_chk.sv +src/aes/rtl/aes_reg_top.sv +src/aes/rtl/aes_ctr_fsm.sv +src/aes/rtl/aes_sbox_dom.sv +src/aes/rtl/aes_ghash.sv +src/aes/rtl/aes_control.sv +src/axi/rtl/axi_dma_ctrl.sv +src/aes/rtl/aes_prng_masking.sv +src/aes/rtl/aes_cipher_core.sv +src/aes/rtl/aes_sbox.sv +src/aes/rtl/aes_key_expand.sv +src/aes/rtl/aes_cipher_control_fsm.sv +src/aes/rtl/aes_core.sv +src/aes/rtl/aes.sv +src/aes/rtl/aes_prng_clearing.sv +src/aes/rtl/aes_control_fsm.sv +src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv +src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv +src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv +src/caliptra_prim/rtl/caliptra_prim_sec_anchor_flop.sv +src/caliptra_prim/rtl/caliptra_prim_sec_anchor_buf.sv +src/caliptra_prim/rtl/caliptra_prim_reg_we_check.sv +src/caliptra_prim/rtl/caliptra_prim_packer_fifo.sv +src/caliptra_prim/rtl/caliptra_prim_fifo_sync_cnt.sv +src/caliptra_prim/rtl/caliptra_prim_dom_and_2share.sv +src/caliptra_prim/rtl/caliptra_prim_sync_reqack.sv +src/caliptra_prim/rtl/caliptra_prim_diff_decode.sv +src/csrng/rtl/csrng_state_db.sv +src/caliptra_tlul/rtl/caliptra_tlul_data_integ_enc.sv +src/caliptra_tlul/rtl/caliptra_tlul_data_integ_dec.sv +src/caliptra_prim/rtl/caliptra_prim_trivium.sv +src/aes/rtl/aes_ctrl_reg_shadowed.sv +src/aes/rtl/aes_ctrl_gcm_reg_shadowed.sv +src/aes/rtl/aes_control_fsm_p.sv +src/aes/rtl/aes_control_fsm_n.sv +src/caliptra_prim/rtl/caliptra_prim_mubi_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv +src/caliptra_prim/rtl/caliptra_prim_slicer.sv +src/caliptra_prim/rtl/caliptra_prim_max_tree.sv +src/caliptra_prim/rtl/caliptra_prim_gf_mult.sv +src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv +src/caliptra_prim/rtl/caliptra_prim_sync_reqack_data.sv +src/caliptra_prim/rtl/caliptra_prim_count.sv +src/uart/rtl/uart_reg_top.sv +src/uart/rtl/uart.sv +src/spi_host/rtl/spi_host_reg_top.sv +src/entropy_src/rtl/entropy_src_reg_top.sv +src/csrng/rtl/csrng_reg_top.sv +src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_gen.sv +src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_chk.sv +src/caliptra_tlul/rtl/caliptra_tlul_err.sv +src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_gen.sv +src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_chk.sv +src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv +src/caliptra_prim/rtl/caliptra_prim_mubi8_sync.sv +src/caliptra_prim/rtl/caliptra_prim_mubi4_sync.sv +src/lc_ctrl/rtl/lc_ctrl_pkg.sv +src/hmac/rtl/hmac_ctrl.sv +src/spi_host/rtl/spi_host.sv +src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv +src/caliptra_tlul/rtl/caliptra_tlul_adapter_reg.sv +src/caliptra_prim/rtl/caliptra_prim_lc_sync.sv +src/kmac/rtl/sha3.sv +src/kmac/rtl/keccak_2share.sv +src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv +src/caliptra_prim/rtl/caliptra_prim_lfsr.sv +src/caliptra_prim/rtl/caliptra_prim_subreg_shadow.sv +src/kmac/rtl/keccak_round.sv +src/kmac/rtl/sha3pad.sv +src/entropy_src/rtl/entropy_src.sv +src/csrng/rtl/csrng.sv +src/ecc/rtl/ecc_dsa_ctrl.sv +src/hmac/tb/hmac_ctrl_tb.sv +src/soc_ifc/tb/soc_ifc_tb_pkg.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lc_sync.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg_hdl.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg_hdl.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv +src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv +src/integration/tb/caliptra_top_tb_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_adapter_functions_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_adapter_functions_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv +src/soc_ifc/coverage/soc_ifc_cov_if.sv +src/soc_ifc/tb/soc_ifc_tb.sv +src/keyvault/rtl/kv_reg_uvm.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_model_top_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv +src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv +src/pcrvault/rtl/pv_reg_uvm.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_model_top_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_model_top_pkg.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/ECC_env_pkg.sv +src/soc_ifc/coverage/soc_ifc_cov_bind.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/HMAC_env_pkg.sv +src/axi/tb/axi_sub_tb.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/parameters/soc_ifc_parameters_pkg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/parameters/mldsa_parameters_pkg.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/SHA512_env_pkg.sv +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/parameters/kv_parameters_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/parameters/pv_parameters_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/parameters/ECC_parameters_pkg.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/ECC_sequences_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/parameters/SHA512_parameters_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/SHA512_sequences_pkg.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/parameters/HMAC_parameters_pkg.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/HMAC_sequences_pkg.sv +src/doe/rtl/doe_reg_pkg.sv +src/doe/rtl/doe_cbc.sv +src/hmac/rtl/hmac_reg_pkg.sv +src/hmac/rtl/hmac_param_pkg.sv +src/hmac/rtl/hmac.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_if.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv +submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv +submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/SHA512_tests_pkg.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/ECC_tests_pkg.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/HMAC_tests_pkg.sv +submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb_pkg.sv +submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv +src/sha512/rtl/sha512_params_pkg.sv +src/sha512/rtl/sha512_reg_pkg.sv +src/sha512/rtl/sha512.sv +src/doe/rtl/doe_fsm.sv +src/csrng/rtl/csrng_cmd_stage.sv +src/csrng/rtl/csrng_ctr_drbg_gen.sv +src/csrng/rtl/csrng_main_sm.sv +src/riscv_core/veer_el2/rtl/lib/beh_lib.sv +src/csrng/rtl/csrng_ctr_drbg_upd.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv +submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv +src/aes/rtl/aes_clp_reg_pkg.sv +src/aes/rtl/aes_clp_wrapper.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_if.sv +src/riscv_core/veer_el2/rtl/include/el2_def.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv +src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv +src/entropy_src/rtl/entropy_src_ack_sm.sv +src/entropy_src/rtl/entropy_src_main_sm_pkg.sv +src/entropy_src/rtl/entropy_src_main_sm.sv +src/spi_host/rtl/spi_host_fsm.sv +src/spi_host/rtl/spi_host_shift_register.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_defines.sv +src/aes/rtl/aes_clp_reg.sv +src/axi/rtl/axi_dma_reg.sv +src/caliptra_prim/rtl/caliptra_prim_intr_hw.sv +src/datavault/rtl/dv_reg.sv +src/doe/rtl/doe_reg.sv +src/ecc/rtl/ecc_reg.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh +src/hmac/rtl/hmac_reg.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/example_derived_test.svh +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/example_derived_test.svh +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/register_test.svh +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh +src/keyvault/rtl/kv_reg.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/example_derived_test.svh +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh +src/pcrvault/rtl/pv_reg.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/example_derived_test.svh +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/register_test.svh +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh +src/sha256/rtl/sha256_reg.sv +src/sha512/rtl/sha512_reg.sv +src/soc_ifc/rtl/mbox_csr.sv +src/soc_ifc/rtl/sha512_acc_csr.sv +src/soc_ifc/rtl/soc_ifc_reg.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/example_derived_test.svh +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh +submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/register_test.svh +submodules/adams-bridge/src/ntt_top/utb/env/ntt_env.sv +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent.sv +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent_config.sv +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_driver.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_agent.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_driver.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_mon.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/mem_seq_lib.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_base_seq.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_virtual_seq.sv +submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv +submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv +submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv +tools/templates/rdl/cov/uvm_reg.sv +tools/templates/rdl/smp/uvm_reg.sv +tools/templates/rdl/uvm/uvm_reg.sv +src/csrng/tb/csrng_tb.sv +src/csrng/rtl/csrng_core.sv +src/entropy_src/rtl/entropy_src_core.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv +src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv +src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv +src/soc_ifc/rtl/sha512_acc_csr_pkg.sv +src/soc_ifc/rtl/sha512_acc_top.sv +src/entropy_src/tb/entropy_src_tb.sv +src/spi_host/tb/spi_device_pkg.sv +src/spi_host/tb/spi_host_tb.sv +src/aes/rtl/aes_wrap.sv +src/ecc/rtl/ecc_defines_pkg.sv +src/ecc/rtl/ecc_top.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv +submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_defines_pkg.sv +submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv +src/uart/tb/uart_tb.sv +submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv +submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv +src/integration/tb/caliptra_veer_sram_export.sv +src/aes/rtl/aes_sbox_canright.sv +src/aes/rtl/aes_sbox_canright_masked.sv +src/aes/rtl/aes_sbox_canright_masked_noreuse.sv +src/axi/rtl/axi_dma_top.sv +src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv +src/ecc/rtl/ecc_pm_uop_pkg.sv +src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv +src/ecc/rtl/ecc_dsa_sequencer.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_if.sv +src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_if.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hvl_top.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_if.sv +src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_if.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hvl_top.sv +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hvl_top.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_if.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_if.sv +src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_if.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv +src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hdl_qvip_apb5_slave.sv +src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hvl_qvip_apb5_slave.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hvl_top.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_if.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_if.sv +src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_if.sv +src/sha256/rtl/sha256_params_pkg.sv +src/sha256/rtl/sha256_reg_pkg.sv +src/sha256/rtl/sha256.sv +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hvl_top.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_if.sv +src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_if.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hvl_top.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_if.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_if.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hdl_qvip_ahb_lite_slave.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hvl_qvip_ahb_lite_slave.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv +submodules/adams-bridge/src/decompose/rtl/decompose_ctrl.sv +submodules/adams-bridge/src/decompose/tb/decompose_tb.sv +submodules/adams-bridge/src/makehint/rtl/makehint_defines_pkg.sv +submodules/adams-bridge/src/makehint/rtl/makehint.sv +submodules/adams-bridge/src/makehint/tb/makehint_tb.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hvl_top.sv +submodules/adams-bridge/src/norm_check/rtl/norm_check_defines_pkg.sv +submodules/adams-bridge/src/norm_check/rtl/norm_check.sv +submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv +submodules/adams-bridge/src/norm_check/rtl/norm_check_top.sv +submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_hybrid_butterfly_2x2.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_butterfly1x2.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_mult_redux46.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_pwm.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_shuffle_buffer.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv +submodules/adams-bridge/src/ntt_top/utb/interfaces/ntt_if.sv +submodules/adams-bridge/src/power2round/rtl/power2round_defines_pkg.sv +submodules/adams-bridge/src/power2round/rtl/power2round_ctrl.sv +submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_pkg.sv +submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_ctrl.sv +submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_defines_pkg.sv +submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_top.sv +submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv +submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_top.sv +submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_defines_pkg.sv +submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv +submodules/adams-bridge/src/sk_decode/rtl/skdecode_defines_pkg.sv +submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv +submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv +submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv +submodules/adams-bridge/src/sk_encode/rtl/skencode.sv +submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv +src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv +src/libs/rtl/ahb_defines_pkg.sv +src/libs/rtl/ahb_slv_sif.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv +src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv +src/riscv_core/veer_el2/rtl/el2_pmp.sv +src/riscv_core/veer_el2/rtl/el2_veer.sv +src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv +src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_defines_pkg.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_slv_sif.sv +src/axi/rtl/axi_sub.sv +src/doe/formal/properties/fv_doe_core_cbc_pkg.sv +src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv +src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv +src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv +src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv +src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv +src/integration/coverage/caliptra_top_cov_if.sv +src/keyvault/coverage/keyvault_cov_if.sv +src/keyvault/coverage/keyvault_cov_props.sv +src/pcrvault/coverage/pcrvault_cov_if.sv +src/pcrvault/coverage/pcrvault_cov_props.sv +src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_gpr_ctl.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv +src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_clkdomain.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv +src/axi/rtl/axi_if.sv +src/aes/rtl/aes_cipher_control_fsm_n.sv +src/aes/rtl/aes_cipher_control_fsm_p.sv +src/aes/rtl/aes_ctr.sv +src/aes/rtl/aes_ctr_fsm_n.sv +src/aes/rtl/aes_ctr_fsm_p.sv +src/aes/rtl/aes_mix_columns.sv +src/aes/rtl/aes_mix_single_column.sv +src/aes/rtl/aes_reduced_round.sv +src/aes/rtl/aes_sbox_lut.sv +src/aes/rtl/aes_shift_rows.sv +src/aes/rtl/aes_sub_bytes.sv +src/axi/rtl/axi_dma_req_if.sv +src/caliptra_prim/rtl/caliptra_prim_subreg.sv +src/csrng/rtl/csrng_block_encrypt.sv +src/csrng/rtl/csrng_ctr_drbg_cmd.sv +src/doe/formal/properties/fv_doe_core_cbc.sv +src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv +src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv +src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv +src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv +src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv +src/ecc/formal/properties/fv_ecc_pm_sequencer.sv +src/ecc/rtl/ecc_arith_unit.sv +src/ecc/rtl/ecc_pm_ctrl.sv +src/ecc/rtl/ecc_pm_sequencer.sv +src/entropy_src/rtl/entropy_src_enable_delay.sv +src/hmac/formal/properties/fv_hmac_pkg.sv +src/hmac/formal/properties/fv_hmac_core.sv +src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv +src/hmac_drbg/formal/properties/fv_hmac_drbg.sv +src/keyvault/rtl/kv_fsm.sv +src/keyvault/rtl/kv_read_client.sv +src/keyvault/rtl/kv_write_client.sv +src/libs/rtl/caliptra_ahb_srom.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv +src/riscv_core/veer_el2/rtl/dec/el2_dec_trigger.sv +src/riscv_core/veer_el2/rtl/el2_mem.sv +src/riscv_core/veer_el2/rtl/exu/el2_exu.sv +src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv +src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv +src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_compress_ctl.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv +src/riscv_core/veer_el2/rtl/lib/el2_lib.sv +src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv +src/riscv_core/veer_el2/rtl/lsu/el2_lsu_trigger.sv +src/sha256/formal/properties/fv_sha256_package.sv +src/sha256/formal/properties/fv_sha256.sv +src/sha256/formal/properties/fv_sha256_constraints.sv +src/sha256/formal/properties/fv_sha256_core_pkg.sv +src/sha256/formal/properties/fv_sha256_core.sv +src/sha512/formal/properties/fv_sha512_pkg.sv +src/sha512/formal/properties/fv_sha512.sv +src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv +src/sha512_masked/formal/properties/fv_sha512_masked.sv +src/sha512_masked/rtl/sha512_masked_defines_pkg.sv +src/sha512_masked/rtl/sha512_masked_core.sv +src/sha512_masked/rtl/sha512_masked_w_mem.sv +src/soc_ifc/rtl/soc_ifc_arb.sv +src/uart/rtl/uart_core.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv +submodules/adams-bridge/src/decompose/rtl/decompose_mod_2gamma2.sv +submodules/adams-bridge/src/decompose/rtl/decompose_r1_lut.sv +submodules/adams-bridge/src/decompose/rtl/decompose_usehint.sv +submodules/adams-bridge/src/decompose/rtl/decompose_w1_encode.sv +submodules/adams-bridge/src/exp_mask/rtl/exp_mask.sv +submodules/adams-bridge/src/exp_mask/rtl/exp_mask_ctrl.sv +submodules/adams-bridge/src/makehint/rtl/hintgen.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly2x2.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_twiddle_lookup.sv +submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv +submodules/adams-bridge/src/ntt_top/utb/interfaces/mem_if.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv +submodules/adams-bridge/src/pk_decode/rtl/pkdecode.sv +submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv +submodules/adams-bridge/src/power2round/rtl/power2round_top.sv +submodules/adams-bridge/src/power2round/tb/power2round_tb.sv +submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded_ctrl.sv +submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler_ctrl.sv +submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_shuffler.sv +submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h.sv +submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv +src/aes/rtl/aes_clp_reg_uvm.sv +src/axi/rtl/axi_dma_reg_uvm.sv +src/datavault/rtl/dv_reg_uvm.sv +src/doe/rtl/doe_reg_uvm.sv +src/ecc/rtl/ecc_reg_uvm.sv +src/hmac/rtl/hmac_reg_uvm.sv +src/libs/rtl/interrupt_regs_uvm.sv +src/sha256/rtl/sha256_reg_uvm.sv +src/sha512/rtl/sha512_reg_uvm.sv +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv +src/integration/rtl/ahb_sif.sv +src/riscv_core/veer_el2/tb/ahb_sif.sv +src/libs/rtl/skidbuffer.v +src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_util_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv +src/caliptra_prim/rtl/caliptra_prim_flop_2sync.sv +src/ecc/coverage/ecc_top_cov_bind.sv +src/ecc/coverage/ecc_top_cov_if.sv +src/ecc/formal/properties/fv_ecc_fau.sv +src/ecc/formal/properties/fv_montmultiplier.sv +src/hmac/coverage/hmac_ctrl_cov_bind.sv +src/hmac/coverage/hmac_ctrl_cov_if.sv +src/integration/coverage/caliptra_top_cov_bind.sv +src/integration/coverage/caliptra_top_cov_props.sv +src/keyvault/coverage/keyvault_cov_bind.sv +src/pcrvault/coverage/pcrvault_cov_bind.sv +src/sha256/coverage/sha256_ctrl_cov_bind.sv +src/sha256/coverage/sha256_ctrl_cov_if.sv +src/sha512/coverage/sha512_ctrl_cov_bind.sv +src/sha512/coverage/sha512_ctrl_cov_if.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_2sync.sv +submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_bind.sv +submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_if.sv +submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv +src/caliptra_prim/rtl/caliptra_prim_buf.sv +src/caliptra_prim/rtl/caliptra_prim_flop.sv +src/caliptra_prim/rtl/caliptra_prim_flop_en.sv +src/riscv_core/veer_el2/rtl/lib/mem_lib.sv +src/aes/rtl/aes_reg_status.sv +src/ahb_lite_bus/rtl/ahb_lite_bus.sv +src/axi/rtl/axi_addr.v +src/axi/rtl/caliptra_axi_sram.sv +src/caliptra_prim/rtl/caliptra_prim_edge_detector.sv +src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_dec.sv +src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_enc.sv +src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_dec.sv +src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_enc.sv +src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv +src/doe/formal/properties/fv_constraints.sv +src/doe/formal/properties/fv_cover_points.sv +src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv +src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv +src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv +src/doe/rtl/doe_core_cbc.sv +src/doe/rtl/doe_decipher_block.sv +src/doe/rtl/doe_encipher_block.sv +src/doe/rtl/doe_inv_sbox.sv +src/doe/rtl/doe_key_mem.sv +src/doe/rtl/doe_sbox.sv +src/doe/tb/doe_cbc_tb.sv +src/doe/tb/doe_core_cbc_tb.sv +src/doe/tb/doe_ctrl_32bit_tb.sv +src/doe/tb/doe_ctrl_64bit_tb.sv +src/doe/tb/doe_ctrl_tb.sv +src/doe/tb/doe_tb.v +src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv +src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv +src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv +src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv +src/ecc/formal/properties/ecc_reduced_instantiations.sv +src/ecc/formal/properties/fv_add_sub_alter.sv +src/ecc/formal/properties/fv_add_sub_constraints.sv +src/ecc/formal/properties/fv_ecc_arith_unit.sv +src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv +src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv +src/ecc/formal/properties/fv_montmultiplier_glue.sv +src/ecc/formal/properties/fv_pe.sv +src/ecc/formal/properties/fv_pe_final.sv +src/ecc/formal/properties/fv_pe_first.sv +src/ecc/formal/properties/fv_scalar_blinding.sv +src/ecc/rtl/ecc_add_sub_mod_alter.sv +src/ecc/rtl/ecc_adder.sv +src/ecc/rtl/ecc_fau.sv +src/ecc/rtl/ecc_hmac_drbg_interface.sv +src/ecc/rtl/ecc_montgomerymultiplier.sv +src/ecc/rtl/ecc_mult_dsp.sv +src/ecc/rtl/ecc_pe.sv +src/ecc/rtl/ecc_pe_final.sv +src/ecc/rtl/ecc_pe_first.sv +src/ecc/rtl/ecc_ram_tdp_file.sv +src/ecc/rtl/ecc_scalar_blinding.sv +src/ecc/tb/ecc_montgomerymultiplier_tb.sv +src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v +src/entropy_src/rtl/entropy_src_adaptp_ht.sv +src/entropy_src/rtl/entropy_src_bucket_ht.sv +src/entropy_src/rtl/entropy_src_cntr_reg.sv +src/entropy_src/rtl/entropy_src_markov_ht.sv +src/entropy_src/rtl/entropy_src_repcnt_ht.sv +src/entropy_src/rtl/entropy_src_repcnts_ht.sv +src/entropy_src/rtl/entropy_src_watermark_reg.sv +src/entropy_src/tb/physical_rng.sv +src/hmac/formal/properties/fv_constraints.sv +src/hmac/formal/properties/fv_constraints_wip.sv +src/hmac/formal/properties/fv_coverpoints.sv +src/hmac/formal/properties/fv_key_stable_top.sv +src/hmac/rtl/hmac_core.v +src/hmac/rtl/hmac_lfsr.sv +src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/rtl/verilog/verilog_dut.v +src/hmac_drbg/formal/properties/fv_constraints_m.sv +src/hmac_drbg/formal/properties/fv_cover_points.sv +src/hmac_drbg/rtl/hmac_drbg.sv +src/hmac_drbg/tb/hmac_drbg_tb.sv +src/integration/test_suites/libs/jtagdpi/jtagdpi.sv +src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/rtl/verilog/verilog_dut.v +src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/rtl/verilog/verilog_dut.v +src/libs/rtl/ahb_to_reg_adapter.sv +src/libs/rtl/apb_slv_sif.sv +src/libs/rtl/caliptra_2ff_sync.sv +src/libs/rtl/caliptra_sram.sv +src/libs/rtl/interrupt_regs.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv +src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv +src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_clk_gen.sv +src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_reset_gen.sv +src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/rtl/verilog/verilog_dut.v +src/riscv_core/veer_el2/rtl/dmi/dmi_jtag_to_core_sync.v +src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v +src/riscv_core/veer_el2/rtl/dmi/dmi_wrapper.v +src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v +src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv +src/sha256/formal/properties/fv_constraints.sv +src/sha256/formal/properties/fv_coverpoints.sv +src/sha256/formal/properties/fv_sha256_core_constraints.sv +src/sha256/formal/properties/fv_sha256_core_coverpoints.sv +src/sha256/rtl/sha256_core.v +src/sha256/rtl/sha256_ctrl.sv +src/sha256/rtl/sha256_k_constants.v +src/sha256/rtl/sha256_w_mem.v +src/sha256/tb/sha256_ctrl_tb.sv +src/sha256/tb/sha256_tb.v +src/sha512/formal/properties/fv_constraints.sv +src/sha512/formal/properties/fv_coverpoints.sv +src/sha512/rtl/sha512_core.v +src/sha512/rtl/sha512_h_constants.v +src/sha512/rtl/sha512_k_constants.v +src/sha512/rtl/sha512_w_mem.v +src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/rtl/verilog/verilog_dut.v +src/sha512_masked/formal/properties/fv_constraints.sv +src/sha512_masked/formal/properties/fv_coverpoints.sv +src/sha512_masked/tb/sha512_masked_core_tb.sv +src/soc_ifc/rtl/wdt.sv +src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/rtl/verilog/verilog_dut.v +src/spi_host/rtl/spi_host_byte_merge.sv +src/spi_host/rtl/spi_host_byte_select.sv +src/spi_host/rtl/spi_host_command_queue.sv +src/spi_host/rtl/spi_host_core.sv +src/spi_host/rtl/spi_host_data_fifos.sv +src/spi_host/tb/spiflash.sv +src/uart/rtl/uart_rx.sv +src/uart/rtl/uart_tx.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_2ff_sync.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_add_sub_mod.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_adder.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_delay_masked_shares.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_A2B_conv.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_AND.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_MUX.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Arith_adder.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_adder.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_sub.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_add_sub_mod_Boolean.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_full_adder.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_piso.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_piso_4.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_ram_regout.sv +submodules/adams-bridge/src/abr_libs/rtl/abr_sample_buffer.sv +submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv +submodules/adams-bridge/src/abr_libs/tb/abr_masked_B2A_conv_tb.sv +submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv +submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv +submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_clk_gen.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_reset_gen.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv +submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_edge_detector.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv +submodules/adams-bridge/src/decompose/rtl/decompose_w1_mem.sv +submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/rtl/verilog/verilog_dut.v +submodules/adams-bridge/src/ntt_top/rtl/ntt_div2.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_special_adder.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_dsp.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv +submodules/adams-bridge/src/ntt_top/rtl/ntt_special_adder.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv +submodules/adams-bridge/src/ntt_top/tb/ntt_ram_tdp_file.sv +submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_sequencer.sv +submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_sequencer.sv +submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq_lib.sv +submodules/adams-bridge/src/power2round/rtl/power2round_core.sv +submodules/adams-bridge/src/power2round/rtl/power2round_skencode.sv +submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded2.sv +submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler.sv +submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball.sv +submodules/adams-bridge/src/sample_in_ball/rtl/sib_mem.sv +submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_unit.sv +submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_unit.sv +submodules/adams-bridge/src/sk_decode/rtl/skdecode_s1s2_unpack.sv +submodules/adams-bridge/src/sk_decode/rtl/skdecode_t0_unpack.sv +submodules/adams-bridge/tools/templates/rdl/cov/main.sv +submodules/adams-bridge/tools/templates/rdl/cov/top_pkg.sv +submodules/adams-bridge/tools/templates/rdl/smp/main.sv +submodules/adams-bridge/tools/templates/rdl/smp/top_pkg.sv +submodules/adams-bridge/tools/templates/rdl/uvm/main.sv +tools/templates/rdl/cov/main.sv +tools/templates/rdl/cov/top_pkg.sv +tools/templates/rdl/smp/main.sv +tools/templates/rdl/smp/top_pkg.sv +tools/templates/rdl/uvm/main.sv +src/caliptra_prim/rtl/caliptra_prim_cipher_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_pkg.sv +src/caliptra_prim/rtl/caliptra_prim_secded_pkg.sv +src/caliptra_prim/rtl/keymgr_pkg.sv +src/doe/rtl/doe_intr_regs_pkg.sv +src/edn/rtl/edn_pkg.sv +src/libs/rtl/interrupt_regs_pkg.sv +src/sha256/formal/properties/fv_sha256_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cipher_pkg.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_pkg.sv +src/ahb_lite_bus/rtl/ahb_lite_bus_inf.sv +src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv +src/integration/tb/dasm.svi +submodules/adams-bridge/src/abr_libs/rtl/abr_macros.svh +submodules/adams-bridge/src/abr_libs/rtl/abr_masked_B2A_conv.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_buf.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop.sv +submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_en.sv +src/pcrvault/rtl/pv_macros.svh + diff --git a/.dvt/default.ls b/.dvt/default.ls new file mode 100755 index 000000000..6d8930cdc --- /dev/null +++ b/.dvt/default.ls @@ -0,0 +1,3 @@ +heap_size=3072m +stack_size=4m +lang=vlog diff --git a/.nfs00000000a49b134e00000c41 b/.nfs00000000a49b134e00000c41 new file mode 100644 index 000000000..2db9bce84 --- /dev/null +++ b/.nfs00000000a49b134e00000c41 @@ -0,0 +1,33172 @@ +*** Start analyzing build configuration *** +INFO: Auto-config - Scanning: /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl +INFO: Auto-config - VLOG: /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl +INFO: Auto-config - Wrote: + .dvt/default.build.auto.1 +*** List of included argument files *** + Build configuration file: /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build + -f /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1 +*** List of invocations *** +Invocation #1 +dvt_init+dvt in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1 at line 9 +*** Warning: BUILD_CONFIG: [AUTO] Multiple incdir candidates. + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1:43 +*** Warning: BUILD_CONFIG: [AUTO] Multiple incdir candidates. + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1:72 +*** Warning: BUILD_CONFIG: [AUTO] Multiple incdir candidates. + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1:82 +*** Error: BUILD_CONFIG: [AUTO] Unresolved includes + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1:101 +*** Warning: BUILD_CONFIG: [AUTO] Missing macro definitions + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/.dvt/default.build.auto.1:124 +*** Done analyzing build configuration [12s.107ms] *** + +*** Start SystemVerilog build *** +*** Invocation #1*** +Loading (1) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_pkg.sv ... +Loading (2) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (3) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_version_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_version_defines.svh [0 ms, 51 lines, SystemVerilog_2012] ... +Loading (4) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_message_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_message_defines.svh [0 ms, 220 lines, SystemVerilog_2012] ... +Loading (5) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_phase_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_phase_defines.svh [0 ms, 130 lines, SystemVerilog_2012] ... +Loading (6) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_object_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_object_defines.svh [0 ms, 3669 lines, SystemVerilog_2012] ... +Loading (7) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_printer_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_printer_defines.svh [0 ms, 420 lines, SystemVerilog_2012] ... +Loading (8) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_tlm_defines.svh ... +Loading (9) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_imps.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_imps.svh [0 ms, 229 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_tlm_defines.svh [0 ms, 615 lines, SystemVerilog_2012] ... +Loading (10) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_sequence_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_sequence_defines.svh [0 ms, 456 lines, SystemVerilog_2012] ... +Loading (11) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_callback_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_callback_defines.svh [0 ms, 301 lines, SystemVerilog_2012] ... +Loading (12) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_reg_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_reg_defines.svh [0 ms, 69 lines, SystemVerilog_2012] ... +Loading (13) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_deprecated_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/macros/uvm_deprecated_defines.svh [0 ms, 251 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh [52 ms, 75 lines, SystemVerilog_2012] ... +Loading (14) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_dpi.svh ... +Loading (15) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_hdl.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_hdl.svh [2 ms, 164 lines, SystemVerilog_2012] ... +Loading (16) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (17) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_regex.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_regex.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/dpi/uvm_dpi.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (18) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_base.svh ... +Loading (19) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_version.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_version.svh [0 ms, 37 lines, SystemVerilog_2012] ... +Loading (20) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_object_globals.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_object_globals.svh [5 ms, 677 lines, SystemVerilog_2012] ... +Loading (21) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_misc.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_misc.svh [21 ms, 712 lines, SystemVerilog_2012] ... +Loading (22) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_object.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_object.svh [14 ms, 1329 lines, SystemVerilog_2012] ... +Loading (23) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_pool.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_pool.svh [4 ms, 348 lines, SystemVerilog_2012] ... +Loading (24) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_queue.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_queue.svh [2 ms, 204 lines, SystemVerilog_2012] ... +Loading (25) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_factory.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_factory.svh [18 ms, 1633 lines, SystemVerilog_2012] ... +Loading (26) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_registry.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_registry.svh [58 ms, 366 lines, SystemVerilog_2012] ... +Loading (27) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_spell_chkr.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_spell_chkr.svh [2 ms, 195 lines, SystemVerilog_2012] ... +Loading (28) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource.svh [29 ms, 1714 lines, SystemVerilog_2012] ... +Loading (29) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource_specializations.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource_specializations.svh [55 ms, 171 lines, SystemVerilog_2012] ... +Loading (30) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource_db.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_resource_db.svh [5 ms, 400 lines, SystemVerilog_2012] ... +Loading (31) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_config_db.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_config_db.svh [9 ms, 368 lines, SystemVerilog_2012] ... +Loading (32) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_printer.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_printer.svh [33 ms, 1169 lines, SystemVerilog_2012] ... +Loading (33) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_comparer.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_comparer.svh [5 ms, 419 lines, SystemVerilog_2012] ... +Loading (34) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_packer.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_packer.svh [10 ms, 783 lines, SystemVerilog_2012] ... +Loading (35) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh [28 ms, 360 lines, SystemVerilog_2012] ... +Loading (36) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_event_callback.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_event_callback.svh [0 ms, 91 lines, SystemVerilog_2012] ... +Loading (37) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_event.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_event.svh [5 ms, 362 lines, SystemVerilog_2012] ... +Loading (38) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_barrier.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_barrier.svh [2 ms, 212 lines, SystemVerilog_2012] ... +Loading (39) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_callback.svh ... +Loading (40) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh [0 ms, 75 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_callback.svh [17 ms, 1193 lines, SystemVerilog_2012] ... +Loading (41) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_catcher.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_catcher.svh [7 ms, 681 lines, SystemVerilog_2012] ... +Loading (42) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh [6 ms, 557 lines, SystemVerilog_2012] ... +Loading (43) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_handler.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_handler.svh [8 ms, 675 lines, SystemVerilog_2012] ... +Loading (44) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_object.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_object.svh [4 ms, 558 lines, SystemVerilog_2012] ... +Loading (45) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_transaction.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_transaction.svh [4 ms, 793 lines, SystemVerilog_2012] ... +Loading (46) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_phase.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_phase.svh [27 ms, 1875 lines, SystemVerilog_2012] ... +Loading (47) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_domain.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_domain.svh [3 ms, 219 lines, SystemVerilog_2012] ... +Loading (48) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_bottomup_phase.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_bottomup_phase.svh [2 ms, 113 lines, SystemVerilog_2012] ... +Loading (49) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_topdown_phase.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_topdown_phase.svh [1 ms, 115 lines, SystemVerilog_2012] ... +Loading (50) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_task_phase.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_task_phase.svh [2 ms, 160 lines, SystemVerilog_2012] ... +Loading (51) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_common_phases.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_common_phases.svh [3 ms, 413 lines, SystemVerilog_2012] ... +Loading (52) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_runtime_phases.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_runtime_phases.svh [5 ms, 510 lines, SystemVerilog_2012] ... +Loading (53) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh ... +Loading (54) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_root.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_root.svh [23 ms, 925 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh [82 ms, 3424 lines, SystemVerilog_2012] ... +Loading (55) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_objection.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_objection.svh [20 ms, 1503 lines, SystemVerilog_2012] ... +Loading (56) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_heartbeat.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_heartbeat.svh [5 ms, 339 lines, SystemVerilog_2012] ... +Loading (57) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_globals.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_globals.svh [4 ms, 407 lines, SystemVerilog_2012] ... +Loading (58) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_cmdline_processor.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_cmdline_processor.svh [3 ms, 434 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_base.svh [375 ms, 102 lines, SystemVerilog_2012] ... +Loading (59) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm.svh ... +Loading (60) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_ifs.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_ifs.svh [52 ms, 219 lines, SystemVerilog_2012] ... +Loading (61) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_sqr_ifs.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_sqr_ifs.svh [2 ms, 215 lines, SystemVerilog_2012] ... +Loading (62) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_port_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_port_base.svh [8 ms, 792 lines, SystemVerilog_2012] ... +Loading (63) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_imps.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_imps.svh [0 ms, 229 lines, SystemVerilog_2012] ... +Loading (64) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_imps.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_imps.svh [11 ms, 317 lines, SystemVerilog_2012] ... +Loading (65) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_ports.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_ports.svh [10 ms, 262 lines, SystemVerilog_2012] ... +Loading (66) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_exports.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_exports.svh [9 ms, 260 lines, SystemVerilog_2012] ... +Loading (67) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_analysis_port.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_analysis_port.svh [1 ms, 156 lines, SystemVerilog_2012] ... +Loading (68) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_fifo_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_fifo_base.svh [2 ms, 253 lines, SystemVerilog_2012] ... +Loading (69) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_fifos.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_fifos.svh [2 ms, 239 lines, SystemVerilog_2012] ... +Loading (70) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_req_rsp.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm_req_rsp.svh [3 ms, 349 lines, SystemVerilog_2012] ... +Loading (71) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_sqr_connections.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_sqr_connections.svh [3 ms, 84 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm1/uvm_tlm.svh [60 ms, 40 lines, SystemVerilog_2012] ... +Loading (72) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_comps.svh ... +Loading (73) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_pair.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_pair.svh [3 ms, 171 lines, SystemVerilog_2012] ... +Loading (74) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_policies.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_policies.svh [0 ms, 142 lines, SystemVerilog_2012] ... +Loading (75) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_in_order_comparator.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_in_order_comparator.svh [2 ms, 260 lines, SystemVerilog_2012] ... +Loading (76) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_algorithmic_comparator.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_algorithmic_comparator.svh [1 ms, 135 lines, SystemVerilog_2012] ... +Loading (77) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_random_stimulus.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_random_stimulus.svh [1 ms, 132 lines, SystemVerilog_2012] ... +Loading (78) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_subscriber.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_subscriber.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (79) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_monitor.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_monitor.svh [0 ms, 54 lines, SystemVerilog_2012] ... +Loading (80) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_driver.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_driver.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Loading (81) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_push_driver.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_push_driver.svh [0 ms, 97 lines, SystemVerilog_2012] ... +Loading (82) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_scoreboard.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_scoreboard.svh [1 ms, 56 lines, SystemVerilog_2012] ... +Loading (83) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_agent.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_agent.svh [0 ms, 83 lines, SystemVerilog_2012] ... +Loading (84) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_env.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_env.svh [1 ms, 54 lines, SystemVerilog_2012] ... +Loading (85) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_test.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_test.svh [0 ms, 82 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/comps/uvm_comps.svh [64 ms, 37 lines, SystemVerilog_2012] ... +Loading (86) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_seq.svh ... +Loading (87) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_item.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_item.svh [5 ms, 509 lines, SystemVerilog_2012] ... +Loading (88) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_base.svh [19 ms, 1653 lines, SystemVerilog_2012] ... +Loading (89) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_analysis_fifo.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_analysis_fifo.svh [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (90) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_param_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_param_base.svh [7 ms, 460 lines, SystemVerilog_2012] ... +Loading (91) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer.svh [3 ms, 311 lines, SystemVerilog_2012] ... +Loading (92) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_push_sequencer.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_push_sequencer.svh [1 ms, 85 lines, SystemVerilog_2012] ... +Loading (93) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_base.svh [12 ms, 1175 lines, SystemVerilog_2012] ... +Loading (94) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence.svh [1 ms, 135 lines, SystemVerilog_2012] ... +Loading (95) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_library.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_library.svh [20 ms, 816 lines, SystemVerilog_2012] ... +Loading (96) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh [5 ms, 301 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_seq.svh [61 ms, 40 lines, SystemVerilog_2012] ... +Loading (97) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2.svh ... +Loading (98) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_defines.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_defines.svh [0 ms, 45 lines, SystemVerilog_2012] ... +Loading (99) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_time.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_time.svh [3 ms, 333 lines, SystemVerilog_2012] ... +Loading (100) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh [49 ms, 1053 lines, SystemVerilog_2012] ... +Loading (101) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_ifs.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_ifs.svh [1 ms, 186 lines, SystemVerilog_2012] ... +Loading (102) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_imps.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_imps.svh [2 ms, 203 lines, SystemVerilog_2012] ... +Loading (103) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_ports.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_ports.svh [1 ms, 75 lines, SystemVerilog_2012] ... +Loading (104) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_exports.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_exports.svh [2 ms, 65 lines, SystemVerilog_2012] ... +Loading (105) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_sockets_base.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_sockets_base.svh [4 ms, 195 lines, SystemVerilog_2012] ... +Loading (106) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_sockets.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_sockets.svh [16 ms, 435 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2.svh [112 ms, 30 lines, SystemVerilog_2012] ... +Loading (107) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_model.svh ... +Loading (108) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_item.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_item.svh [3 ms, 316 lines, SystemVerilog_2012] ... +Loading (109) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_adapter.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_adapter.svh [3 ms, 252 lines, SystemVerilog_2012] ... +Loading (110) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_predictor.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_predictor.svh [4 ms, 261 lines, SystemVerilog_2012] ... +Loading (111) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_sequence.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_sequence.svh [11 ms, 547 lines, SystemVerilog_2012] ... +Loading (112) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_cbs.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_cbs.svh [4 ms, 530 lines, SystemVerilog_2012] ... +Loading (113) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_backdoor.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_backdoor.svh [5 ms, 348 lines, SystemVerilog_2012] ... +Loading (114) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh [30 ms, 2005 lines, SystemVerilog_2012] ... +Loading (115) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_vreg_field.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_vreg_field.svh [14 ms, 1005 lines, SystemVerilog_2012] ... +Loading (116) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh [54 ms, 3095 lines, SystemVerilog_2012] ... +Loading (117) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh [7 ms, 330 lines, SystemVerilog_2012] ... +Loading (118) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_fifo.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_fifo.svh [3 ms, 311 lines, SystemVerilog_2012] ... +Loading (119) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_file.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_file.svh [5 ms, 499 lines, SystemVerilog_2012] ... +Loading (120) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem_mam.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem_mam.svh [14 ms, 1018 lines, SystemVerilog_2012] ... +Loading (121) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_vreg.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_vreg.svh [84 ms, 1550 lines, SystemVerilog_2012] ... +Loading (122) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh [34 ms, 2410 lines, SystemVerilog_2012] ... +Loading (123) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh [151 ms, 2167 lines, SystemVerilog_2012] ... +Loading (124) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_block.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_block.svh [27 ms, 2272 lines, SystemVerilog_2012] ... +Loading (125) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_hw_reset_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_hw_reset_seq.svh [2 ms, 148 lines, SystemVerilog_2012] ... +Loading (126) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_bit_bash_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_bit_bash_seq.svh [6 ms, 301 lines, SystemVerilog_2012] ... +Loading (127) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_walk_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_walk_seq.svh [5 ms, 300 lines, SystemVerilog_2012] ... +Loading (128) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh [6 ms, 308 lines, SystemVerilog_2012] ... +Loading (129) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_access_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_access_seq.svh [8 ms, 361 lines, SystemVerilog_2012] ... +Loading (130) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh [82 ms, 486 lines, SystemVerilog_2012] ... +Loading (131) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_built_in_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_built_in_seq.svh [4 ms, 139 lines, SystemVerilog_2012] ... +Loading (132) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh [9 ms, 175 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_model.svh [261 ms, 445 lines, SystemVerilog_2012] ... +Done /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_pkg.sv [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (133) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/caliptra_top_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/caliptra_top_reg_defines.svh [57 ms, 607 lines, SystemVerilog_2012] ... +Loading (134) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_params_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_params_pkg.sv [1 ms, 82 lines, SystemVerilog_2012] ... +Loading (135) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_defines_pkg.sv [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (136) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_defines_pkg.sv [1 ms, 64 lines, SystemVerilog_2012] ... +Loading (137) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_defines_pkg.sv [1 ms, 99 lines, SystemVerilog_2012] ... +Loading (138) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_params_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_params_pkg.sv [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (139) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_uop_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_uop_pkg.sv [3 ms, 127 lines, SystemVerilog_2012] ... +Loading (140) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_defines_pkg.sv [1 ms, 41 lines, SystemVerilog_2012] ... +Loading (141) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_pkg.sv [2 ms, 352 lines, SystemVerilog_2012] ... +Loading (142) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3_pkg.sv [3 ms, 227 lines, SystemVerilog_2012] ... +Loading (143) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_pkg.sv [0 ms, 8 lines, SystemVerilog_2012] ... +Loading (144) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_pkg.sv [0 ms, 30 lines, SystemVerilog_2012] ... +Loading (145) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_pkg.sv [3 ms, 397 lines, SystemVerilog_2012] ... +Loading (146) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_pkg.sv [3 ms, 386 lines, SystemVerilog_2012] ... +Loading (147) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_cmd_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_cmd_pkg.sv [1 ms, 54 lines, SystemVerilog_2012] ... +Loading (148) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_pkg.sv [7 ms, 1462 lines, SystemVerilog_2012] ... +Loading (149) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_pkg.sv [1 ms, 49 lines, SystemVerilog_2012] ... +Loading (150) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_pkg.sv [1 ms, 242 lines, SystemVerilog_2012] ... +Loading (151) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_pkg.sv [1 ms, 84 lines, SystemVerilog_2012] ... +Loading (152) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_reg_pkg.sv [4 ms, 345 lines, SystemVerilog_2012] ... +Loading (153) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3_pkg.sv [1 ms, 227 lines, SystemVerilog_2012] ... +Loading (154) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_pkg.sv [1 ms, 128 lines, SystemVerilog_2012] ... +Loading (155) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_pkg.sv [14 ms, 1018 lines, SystemVerilog_2012] ... +Loading (156) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_pkg.sv [0 ms, 86 lines, SystemVerilog_2012] ... +Loading (157) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_pkg.sv [9 ms, 483 lines, SystemVerilog_2012] ... +Loading (158) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_pkg.sv [0 ms, 129 lines, SystemVerilog_2012] ... +Loading (159) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_pkg.sv [3 ms, 409 lines, SystemVerilog_2012] ... +Loading (160) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_pkg.sv [1 ms, 92 lines, SystemVerilog_2012] ... +Loading (161) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_pkg.sv [3 ms, 219 lines, SystemVerilog_2012] ... +Loading (162) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_trivium_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_trivium_pkg.sv [3 ms, 160 lines, SystemVerilog_2012] ... +Loading (163) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_pkg.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (164) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_pkg.sv [0 ms, 8 lines, SystemVerilog_2012] ... +Loading (165) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_pkg.sv [0 ms, 28 lines, SystemVerilog_2012] ... +Loading (166) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_pkg.sv [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (167) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_pkg.sv [2 ms, 330 lines, SystemVerilog_2012] ... +Loading (168) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_pkg.sv [2 ms, 93 lines, SystemVerilog_2012] ... +Loading (169) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_pkg.sv [4 ms, 445 lines, SystemVerilog_2012] ... +Loading (170) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_pkg.sv [12 ms, 745 lines, SystemVerilog_2012] ... +Loading (171) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_ram.sv ... +Loading (172) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Loading (173) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh [0 ms, 43 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_ram.sv [0 ms, 87 lines, SystemVerilog_2012] ... +Loading (174) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_be_ram.sv ... +Loading (175) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh [0 ms, 43 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_be_ram.sv [0 ms, 152 lines, SystemVerilog_2012] ... +Loading (176) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_512x4_ram.sv ... +Optimizing (177) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_1r1w_512x4_ram.sv [0 ms, 54 lines, SystemVerilog_2012] ... +Loading (178) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg_hdl.sv ... +Loading (179) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (180) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_macros.svh [0 ms, 209 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg_hdl.sv [1 ms, 53 lines, SystemVerilog_2012] ... +Loading (181) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg_hdl.sv ... +Loading (182) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (183) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_macros.svh [0 ms, 188 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg_hdl.sv [50 ms, 53 lines, SystemVerilog_2012] ... +Loading (184) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg_hdl.sv ... +Loading (185) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (186) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_macros.svh [0 ms, 155 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg_hdl.sv [1 ms, 53 lines, SystemVerilog_2012] ... +Loading (187) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg_hdl.sv ... +Loading (188) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_typedefs_hdl.svh [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (189) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_macros.svh [0 ms, 123 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg_hdl.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (190) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg_hdl.sv ... +Loading (191) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_typedefs_hdl.svh [0 ms, 23 lines, SystemVerilog_2012] ... +Loading (192) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_macros.svh [0 ms, 132 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg_hdl.sv [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (193) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg_hdl.sv ... +Loading (194) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (195) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_macros.svh [0 ms, 173 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (196) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg_hdl.sv ... +Loading (197) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (198) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_macros.svh [0 ms, 164 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg_hdl.sv [51 ms, 53 lines, SystemVerilog_2012] ... +Loading (199) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg_hdl.sv ... +Loading (200) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (201) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_macros.svh [0 ms, 173 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (202) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg_hdl.sv ... +Loading (203) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (204) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_macros.svh [0 ms, 182 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (205) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg_hdl.sv ... +Loading (206) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (207) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_macros.svh [0 ms, 182 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (208) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg_hdl.sv ... +Loading (209) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (210) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_macros.svh [0 ms, 173 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg_hdl.sv [51 ms, 53 lines, SystemVerilog_2012] ... +Loading (211) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg_hdl.sv ... +Loading (212) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_typedefs_hdl.svh [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (213) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_macros.svh [0 ms, 123 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg_hdl.sv [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (214) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg_hdl.sv ... +Loading (215) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_typedefs_hdl.svh [0 ms, 23 lines, SystemVerilog_2012] ... +Loading (216) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_macros.svh [0 ms, 141 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg_hdl.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (217) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg_hdl.sv ... +Loading (218) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_typedefs_hdl.svh [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (219) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_macros.svh [0 ms, 177 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg_hdl.sv [72 ms, 39 lines, SystemVerilog_2012] ... +Loading (220) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg_hdl.sv ... +Loading (221) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_typedefs_hdl.svh [1 ms, 24 lines, SystemVerilog_2012] ... +Loading (222) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_macros.svh [0 ms, 132 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg_hdl.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (223) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_gen_hash.sv ... +Loading (224) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh [0 ms, 33 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_gen_hash.sv [4 ms, 216 lines, SystemVerilog_2012] ... +Loading (225) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_uvm.sv ... +Optimizing (226) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (227) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_covergroups.svh [86 ms, 2843 lines, SystemVerilog_2012] ... +Loading (228) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_sample.svh [138 ms, 3352 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_uvm.sv [178 ms, 5053 lines, SystemVerilog_2012] ... +Loading (229) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_uvm.sv ... +Optimizing (230) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (231) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_covergroups.svh [9 ms, 641 lines, SystemVerilog_2012] ... +Loading (232) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_sample.svh [32 ms, 768 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_uvm.sv [38 ms, 1144 lines, SystemVerilog_2012] ... +Loading (233) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_defines_pkg.sv [3 ms, 158 lines, SystemVerilog_2012] ... +Loading (234) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_pkg.sv [1 ms, 91 lines, SystemVerilog_2012] ... +Loading (235) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_defines_pkg.sv [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (236) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/parameters/caliptra_top_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/parameters/caliptra_top_parameters_pkg.sv [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (237) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv.sv ... +Loading (238) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh [0 ms, 33 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv.sv [3 ms, 197 lines, SystemVerilog_2012] ... +Loading (239) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv.sv ... +Optimizing (240) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv.sv [4 ms, 290 lines, SystemVerilog_2012] ... +Loading (241) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv.sv ... +Optimizing (242) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv.sv [2 ms, 154 lines, SystemVerilog_2012] ... +Loading (243) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_ctrl.sv ... +Loading (244) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_macros.svh [0 ms, 40 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_ctrl.sv [2 ms, 140 lines, SystemVerilog_2012] ... +Loading (245) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl_pkg.sv [14 ms, 455 lines, SystemVerilog_2012] ... +Loading (246) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv ... +Loading (247) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh [0 ms, 115 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv [2 ms, 35 lines, SystemVerilog_2012] ... +Loading (248) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv ... +Optimizing (249) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv [62 ms, 434 lines, SystemVerilog_2012] ... +Loading (250) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv ... +Loading (251) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh [3 ms, 170 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (252) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv ... +Loading (253) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh [1 ms, 170 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv [1 ms, 34 lines, SystemVerilog_2012] ... +Loading (254) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv ... +Optimizing (255) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (256) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh [3 ms, 77 lines, SystemVerilog_2012] ... +Loading (257) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh ... +Optimizing (258) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (259) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv ... +Optimizing (260) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (261) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh [3 ms, 77 lines, SystemVerilog_2012] ... +Loading (262) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh ... +Optimizing (263) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (264) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv ... +Optimizing (265) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (266) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh [2 ms, 40 lines, SystemVerilog_2012] ... +Loading (267) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env.svh ... +Optimizing (268) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env.svh [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (269) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh [1 ms, 24 lines, SystemVerilog_2012] ... +Loading (270) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh [15 ms, 105 lines, SystemVerilog_2012] ... +Loading (271) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh [1 ms, 34 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv [1 ms, 25 lines, SystemVerilog_2012] ... +Loading (272) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv ... +Optimizing (273) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (274) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh [2 ms, 77 lines, SystemVerilog_2012] ... +Loading (275) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh ... +Optimizing (276) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (277) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_apb_user.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_apb_user.svh [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (278) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh [15 ms, 147 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv [1 ms, 33 lines, SystemVerilog_2012] ... +Loading (279) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_icg.sv ... +Loading (280) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh [0 ms, 108 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_icg.sv [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (281) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/clk_gate.sv ... +Loading (282) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh [0 ms, 108 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/clk_gate.sv [1 ms, 96 lines, SystemVerilog_2012] ... +Loading (283) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv ... +Optimizing (284) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv [5 ms, 395 lines, SystemVerilog_2012] ... +Loading (285) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_icg.sv ... +Optimizing (286) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_icg.sv [0 ms, 37 lines, SystemVerilog_2012] ... +Loading (287) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv ... +Optimizing (288) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Optimizing (289) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Loading (290) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (291) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv [0 ms, 272 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv [37 ms, 1385 lines, SystemVerilog_2012] ... +Loading (292) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv ... +Optimizing (293) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Optimizing (294) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv [36 ms, 730 lines, SystemVerilog_2012] ... +Loading (295) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_state_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_state_pkg.sv [9 ms, 352 lines, SystemVerilog_2012] ... +Loading (296) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_ctrl.sv ... +Optimizing (297) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_ctrl.sv [2 ms, 138 lines, SystemVerilog_2012] ... +Loading (298) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv ... +Optimizing (299) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_macros.svh ... +Optimizing (300) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv [3 ms, 271 lines, SystemVerilog_2012] ... +Loading (301) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv ... +Optimizing (302) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_macros.svh ... +Optimizing (303) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv [2 ms, 411 lines, SystemVerilog_2012] ... +Loading (304) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg.sv ... +Optimizing (305) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (306) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_macros.svh ... +Loading (307) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (308) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh [3 ms, 229 lines, SystemVerilog_2012] ... +Loading (309) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh [2 ms, 194 lines, SystemVerilog_2012] ... +Loading (310) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (311) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh [2 ms, 119 lines, SystemVerilog_2012] ... +Loading (312) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction_coverage.svh [2 ms, 114 lines, SystemVerilog_2012] ... +Loading (313) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh [1 ms, 111 lines, SystemVerilog_2012] ... +Loading (314) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh [62 ms, 68 lines, SystemVerilog_2012] ... +Loading (315) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh [1 ms, 64 lines, SystemVerilog_2012] ... +Loading (316) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status2reg_adapter.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (317) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh [1 ms, 72 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg.sv [3 ms, 95 lines, SystemVerilog_2012] ... +Loading (318) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_uvm.sv ... +Optimizing (319) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (320) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh [4 ms, 347 lines, SystemVerilog_2012] ... +Loading (321) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_sample.svh [7 ms, 279 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_uvm.sv [12 ms, 406 lines, SystemVerilog_2012] ... +Loading (322) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv ... +Optimizing (323) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv [29 ms, 202 lines, SystemVerilog_2012] ... +Loading (324) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv ... +Optimizing (325) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv [44 ms, 469 lines, SystemVerilog_2012] ... +Loading (326) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv ... +Optimizing (327) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv [4 ms, 300 lines, SystemVerilog_2012] ... +Loading (328) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv ... +Optimizing (329) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_macros.svh ... +Optimizing (330) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv [3 ms, 271 lines, SystemVerilog_2012] ... +Loading (331) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv ... +Optimizing (332) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_macros.svh ... +Optimizing (333) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv [53 ms, 229 lines, SystemVerilog_2012] ... +Loading (334) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv ... +Optimizing (335) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_macros.svh ... +Optimizing (336) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv [2 ms, 244 lines, SystemVerilog_2012] ... +Loading (337) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv ... +Optimizing (338) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_macros.svh ... +Optimizing (339) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv [2 ms, 215 lines, SystemVerilog_2012] ... +Loading (340) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv ... +Optimizing (341) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_macros.svh ... +Optimizing (342) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv [2 ms, 246 lines, SystemVerilog_2012] ... +Loading (343) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv ... +Optimizing (344) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_macros.svh ... +Optimizing (345) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv [2 ms, 236 lines, SystemVerilog_2012] ... +Loading (346) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv ... +Optimizing (347) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_macros.svh ... +Optimizing (348) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv [2 ms, 254 lines, SystemVerilog_2012] ... +Loading (349) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv ... +Optimizing (350) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_macros.svh ... +Optimizing (351) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv [1 ms, 242 lines, SystemVerilog_2012] ... +Loading (352) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv ... +Optimizing (353) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_macros.svh ... +Optimizing (354) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv [12 ms, 516 lines, SystemVerilog_2012] ... +Loading (355) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv ... +Optimizing (356) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_macros.svh ... +Optimizing (357) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv [2 ms, 235 lines, SystemVerilog_2012] ... +Loading (358) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv ... +Optimizing (359) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv [6 ms, 556 lines, SystemVerilog_2012] ... +Loading (360) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv ... +Optimizing (361) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_macros.svh ... +Optimizing (362) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv [2 ms, 227 lines, SystemVerilog_2012] ... +Loading (363) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv ... +Optimizing (364) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_macros.svh ... +Optimizing (365) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv [2 ms, 234 lines, SystemVerilog_2012] ... +Loading (366) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv ... +Optimizing (367) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_macros.svh ... +Optimizing (368) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv [2 ms, 216 lines, SystemVerilog_2012] ... +Loading (369) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv ... +Optimizing (370) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_macros.svh ... +Optimizing (371) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv [2 ms, 313 lines, SystemVerilog_2012] ... +Loading (372) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv ... +Optimizing (373) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_macros.svh ... +Optimizing (374) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv [1 ms, 305 lines, SystemVerilog_2012] ... +Loading (375) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv ... +Optimizing (376) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_macros.svh ... +Optimizing (377) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv [14 ms, 762 lines, SystemVerilog_2012] ... +Loading (378) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv ... +Optimizing (379) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_macros.svh ... +Optimizing (380) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv [3 ms, 325 lines, SystemVerilog_2012] ... +Loading (381) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv ... +Optimizing (382) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_macros.svh ... +Optimizing (383) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv [1 ms, 340 lines, SystemVerilog_2012] ... +Loading (384) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv ... +Optimizing (385) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_macros.svh ... +Optimizing (386) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv [3 ms, 333 lines, SystemVerilog_2012] ... +Loading (387) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv ... +Optimizing (388) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_macros.svh ... +Optimizing (389) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv [43 ms, 366 lines, SystemVerilog_2012] ... +Loading (390) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv ... +Optimizing (391) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_macros.svh ... +Optimizing (392) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv [3 ms, 305 lines, SystemVerilog_2012] ... +Loading (393) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv ... +Optimizing (394) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_macros.svh ... +Optimizing (395) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv [19 ms, 1073 lines, SystemVerilog_2012] ... +Loading (396) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv ... +Optimizing (397) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_macros.svh ... +Optimizing (398) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv [3 ms, 337 lines, SystemVerilog_2012] ... +Loading (399) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv ... +Optimizing (400) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_macros.svh ... +Optimizing (401) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv [26 ms, 1072 lines, SystemVerilog_2012] ... +Loading (402) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_receiver.sv ... +Loading (403) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Loading (404) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (405) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert_standard_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert_standard_macros.svh [0 ms, 82 lines, SystemVerilog_2012] ... +Loading (406) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert_sec_cm.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert_sec_cm.svh [0 ms, 50 lines, SystemVerilog_2012] ... +Loading (407) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_macros.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_macros.sv [0 ms, 76 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv [0 ms, 184 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_receiver.sv [3 ms, 387 lines, SystemVerilog_2012] ... +Loading (408) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv ... +Loading (409) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv [0 ms, 184 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv [3 ms, 226 lines, SystemVerilog_2012] ... +Loading (410) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_sender.sv ... +Optimizing (411) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_alert_sender.sv [3 ms, 393 lines, SystemVerilog_2012] ... +Loading (412) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop_en.sv ... +Optimizing (413) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop_en.sv [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (414) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop.sv ... +Optimizing (415) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop.sv [1 ms, 26 lines, SystemVerilog_2012] ... +Loading (416) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_buf.sv ... +Optimizing (417) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_buf.sv [0 ms, 19 lines, SystemVerilog_2012] ... +Loading (418) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_flop.sv ... +Optimizing (419) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_flop.sv [1 ms, 28 lines, SystemVerilog_2012] ... +Loading (420) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_buf.sv ... +Optimizing (421) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sec_anchor_buf.sv [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (422) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_reg_we_check.sv ... +Optimizing (423) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_reg_we_check.sv [1 ms, 55 lines, SystemVerilog_2012] ... +Loading (424) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_packer_fifo.sv ... +Optimizing (425) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_packer_fifo.sv [2 ms, 181 lines, SystemVerilog_2012] ... +Loading (426) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync_cnt.sv ... +Optimizing (427) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync_cnt.sv [2 ms, 104 lines, SystemVerilog_2012] ... +Loading (428) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_dom_and_2share.sv ... +Optimizing (429) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_dom_and_2share.sv [3 ms, 174 lines, SystemVerilog_2012] ... +Loading (430) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_diff_decode.sv ... +Optimizing (431) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_diff_decode.sv [3 ms, 286 lines, SystemVerilog_2012] ... +Loading (432) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi_pkg.sv ... +Optimizing (433) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi_pkg.sv [19 ms, 546 lines, SystemVerilog_2012] ... +Loading (434) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv ... +Optimizing (435) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv [2 ms, 125 lines, SystemVerilog_2012] ... +Loading (436) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_slicer.sv ... +Optimizing (437) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_slicer.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (438) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv ... +Optimizing (439) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv [3 ms, 153 lines, SystemVerilog_2012] ... +Loading (440) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_intr_hw.sv ... +Optimizing (441) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Optimizing (442) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_intr_hw.sv [2 ms, 101 lines, SystemVerilog_2012] ... +Loading (443) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv ... +Optimizing (444) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv [2 ms, 199 lines, SystemVerilog_2012] ... +Loading (445) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_count.sv ... +Optimizing (446) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_count.sv [4 ms, 263 lines, SystemVerilog_2012] ... +Loading (447) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3.sv ... +Optimizing (448) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Optimizing (449) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3.sv [5 ms, 491 lines, SystemVerilog_2012] ... +Loading (450) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_2share.sv ... +Optimizing (451) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Optimizing (452) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_2share.sv [13 ms, 637 lines, SystemVerilog_2012] ... +Loading (453) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_flop.sv ... +Optimizing (454) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_flop.sv [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (455) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi8_sync.sv ... +Optimizing (456) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi8_sync.sv [2 ms, 180 lines, SystemVerilog_2012] ... +Loading (457) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi4_sync.sv ... +Optimizing (458) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_mubi4_sync.sv [4 ms, 179 lines, SystemVerilog_2012] ... +Loading (459) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose.sv ... +Optimizing (460) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose.sv [4 ms, 274 lines, SystemVerilog_2012] ... +Loading (461) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv ... +Optimizing (462) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv [2 ms, 156 lines, SystemVerilog_2012] ... +Loading (463) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lfsr.sv ... +Optimizing (464) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lfsr.sv [16 ms, 700 lines, SystemVerilog_2012] ... +Loading (465) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv ... +Optimizing (466) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Optimizing (467) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv [29 ms, 509 lines, SystemVerilog_2012] ... +Loading (468) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3pad.sv ... +Optimizing (469) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sva.svh ... +Optimizing (470) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3pad.sv [17 ms, 948 lines, SystemVerilog_2012] ... +Loading (471) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv ... +Optimizing (472) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_macros.svh ... +Optimizing (473) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv [3 ms, 239 lines, SystemVerilog_2012] ... +Loading (474) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv ... +Optimizing (475) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_macros.svh ... +Optimizing (476) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv [3 ms, 320 lines, SystemVerilog_2012] ... +Loading (477) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv ... +Optimizing (478) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_macros.svh ... +Optimizing (479) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv [3 ms, 322 lines, SystemVerilog_2012] ... +Loading (480) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv ... +Optimizing (481) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_macros.svh ... +Optimizing (482) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv [2 ms, 335 lines, SystemVerilog_2012] ... +Loading (483) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_wr.sv ... +Loading (484) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Loading (485) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (486) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert_standard_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert_standard_macros.svh [0 ms, 82 lines, SystemVerilog_2012] ... +Loading (487) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert_sec_cm.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert_sec_cm.svh [0 ms, 50 lines, SystemVerilog_2012] ... +Loading (488) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_macros.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_macros.sv [0 ms, 76 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv [0 ms, 184 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_wr.sv [17 ms, 392 lines, SystemVerilog_2012] ... +Loading (489) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control.sv ... +Optimizing (490) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control.sv [5 ms, 486 lines, SystemVerilog_2012] ... +Loading (491) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_receiver.sv ... +Optimizing (492) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_receiver.sv [2 ms, 387 lines, SystemVerilog_2012] ... +Loading (493) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv ... +Optimizing (494) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv [3 ms, 226 lines, SystemVerilog_2012] ... +Loading (495) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_2to1_mux.sv ... +Optimizing (496) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_2to1_mux.sv [4 ms, 243 lines, SystemVerilog_2012] ... +Loading (497) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_boot_fsm.sv ... +Optimizing (498) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_boot_fsm.sv [3 ms, 277 lines, SystemVerilog_2012] ... +Loading (499) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv ... +Optimizing (500) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv [10 ms, 699 lines, SystemVerilog_2012] ... +Loading (501) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv ... +Optimizing (502) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sva.svh ... +Optimizing (503) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Loading (504) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh [260 ms, 6522 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv [145 ms, 1417 lines, SystemVerilog_2012] ... +Loading (505) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv ... +Loading (506) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh [117 ms, 6522 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv [80 ms, 1332 lines, SystemVerilog_2012] ... +Loading (507) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv ... +Optimizing (508) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv [11 ms, 533 lines, SystemVerilog_2012] ... +Loading (509) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv ... +Optimizing (510) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv [22 ms, 1062 lines, SystemVerilog_2012] ... +Loading (511) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv ... +Loading (512) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv [0 ms, 272 lines, SystemVerilog_2012] ... +Optimizing (513) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Optimizing (514) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Optimizing (515) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv [5 ms, 419 lines, SystemVerilog_2012] ... +Loading (516) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv ... +Optimizing (517) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv ... +Optimizing (518) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Optimizing (519) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Loading (520) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi [14 ms, 396 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv [98 ms, 2150 lines, SystemVerilog_2012] ... +Loading (521) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv ... +Optimizing (522) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/common_defines.sv ... +Optimizing (523) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Optimizing (524) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Optimizing (525) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv [23 ms, 577 lines, SystemVerilog_2012] ... +Loading (526) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_pkg.sv ... +Optimizing (527) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/config_defines.svh ... +Optimizing (528) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_pkg.sv [3 ms, 188 lines, SystemVerilog_2012] ... +Loading (529) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv ... +Optimizing (530) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (531) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job.svh [2 ms, 47 lines, SystemVerilog_2012] ... +Loading (532) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh [3 ms, 84 lines, SystemVerilog_2012] ... +Loading (533) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_intr_block_rf_ext.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_intr_block_rf_ext.svh [3 ms, 90 lines, SystemVerilog_2012] ... +Loading (534) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr.svh [0 ms, 46 lines, SystemVerilog_2012] ... +Loading (535) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh [7 ms, 192 lines, SystemVerilog_2012] ... +Loading (536) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh [5 ms, 162 lines, SystemVerilog_2012] ... +Loading (537) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh [8 ms, 145 lines, SystemVerilog_2012] ... +Loading (538) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh [6 ms, 159 lines, SystemVerilog_2012] ... +Loading (539) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dataout_dataout.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dataout_dataout.svh [5 ms, 127 lines, SystemVerilog_2012] ... +Loading (540) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh [9 ms, 204 lines, SystemVerilog_2012] ... +Loading (541) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh [2 ms, 84 lines, SystemVerilog_2012] ... +Loading (542) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh [12 ms, 294 lines, SystemVerilog_2012] ... +Loading (543) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh [6 ms, 131 lines, SystemVerilog_2012] ... +Loading (544) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_global_intr_en_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_global_intr_en_r_base.svh [2 ms, 100 lines, SystemVerilog_2012] ... +Loading (545) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_internal_intr_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_internal_intr_r_base.svh [5 ms, 192 lines, SystemVerilog_2012] ... +Loading (546) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_intr_en_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_intr_en_r_base.svh [4 ms, 122 lines, SystemVerilog_2012] ... +Loading (547) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_intr_trig_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_intr_trig_r_base.svh [10 ms, 87 lines, SystemVerilog_2012] ... +Loading (548) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_internal_intr_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_internal_intr_r_base.svh [4 ms, 192 lines, SystemVerilog_2012] ... +Loading (549) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_intr_en_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_intr_en_r_base.svh [3 ms, 122 lines, SystemVerilog_2012] ... +Loading (550) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_intr_trig_r_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_intr_trig_r_base.svh [2 ms, 87 lines, SystemVerilog_2012] ... +Loading (551) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_internal.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_internal.svh [2 ms, 70 lines, SystemVerilog_2012] ... +Loading (552) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_HW_ERROR_FATAL.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_HW_ERROR_FATAL.svh [2 ms, 69 lines, SystemVerilog_2012] ... +Loading (553) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_HW_ERROR_NON_FATAL.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_HW_ERROR_NON_FATAL.svh [10 ms, 69 lines, SystemVerilog_2012] ... +Loading (554) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh [4 ms, 112 lines, SystemVerilog_2012] ... +Loading (555) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_PAUSER_LOCK_LOCK.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_PAUSER_LOCK_LOCK.svh [3 ms, 72 lines, SystemVerilog_2012] ... +Loading (556) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_CTRL_CLEAR.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_CTRL_CLEAR.svh [5 ms, 120 lines, SystemVerilog_2012] ... +Loading (557) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_REQ.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_REQ.svh [2 ms, 76 lines, SystemVerilog_2012] ... +Loading (558) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh [3 ms, 109 lines, SystemVerilog_2012] ... +Loading (559) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_VALID_PAUSER_PAUSER.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_VALID_PAUSER_PAUSER.svh [2 ms, 65 lines, SystemVerilog_2012] ... +Loading (560) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_WDT_TIMER1_CTRL_TIMER1_RESTART.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_WDT_TIMER1_CTRL_TIMER1_RESTART.svh [2 ms, 83 lines, SystemVerilog_2012] ... +Loading (561) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_WDT_TIMER2_CTRL_TIMER2_RESTART.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_WDT_TIMER2_CTRL_TIMER2_RESTART.svh [2 ms, 83 lines, SystemVerilog_2012] ... +Loading (562) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_secret.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_secret.svh [2 ms, 68 lines, SystemVerilog_2012] ... +Loading (563) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_fuse.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_fuse.svh [2 ms, 68 lines, SystemVerilog_2012] ... +Loading (564) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_key.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_key.svh [2 ms, 63 lines, SystemVerilog_2012] ... +Loading (565) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_internal.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_internal.svh [2 ms, 71 lines, SystemVerilog_2012] ... +Loading (566) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_internal_fw_update_reset.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_internal_fw_update_reset.svh [74 ms, 180 lines, SystemVerilog_2012] ... +Loading (567) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_LOCK_LOCK.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_LOCK_LOCK.svh [6 ms, 132 lines, SystemVerilog_2012] ... +Loading (568) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_EXECUTE_EXECUTE.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_EXECUTE_EXECUTE.svh [4 ms, 127 lines, SystemVerilog_2012] ... +Loading (569) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sample.svh [5 ms, 65 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv [526 ms, 1165 lines, SystemVerilog_2012] ... +Loading (570) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg.sv ... +Optimizing (571) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (572) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_macros.svh ... +Loading (573) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (574) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh [2 ms, 202 lines, SystemVerilog_2012] ... +Loading (575) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh [2 ms, 194 lines, SystemVerilog_2012] ... +Loading (576) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (577) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (578) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction_coverage.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Loading (579) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh [3 ms, 111 lines, SystemVerilog_2012] ... +Loading (580) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (581) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh [2 ms, 64 lines, SystemVerilog_2012] ... +Loading (582) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl2reg_adapter.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (583) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh [0 ms, 68 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg.sv [51 ms, 93 lines, SystemVerilog_2012] ... +Loading (584) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg.sv ... +Optimizing (585) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (586) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_macros.svh ... +Loading (587) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (588) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh [3 ms, 217 lines, SystemVerilog_2012] ... +Loading (589) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh [3 ms, 204 lines, SystemVerilog_2012] ... +Loading (590) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh [2 ms, 106 lines, SystemVerilog_2012] ... +Loading (591) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (592) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction_coverage.svh [2 ms, 108 lines, SystemVerilog_2012] ... +Loading (593) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh [2 ms, 111 lines, SystemVerilog_2012] ... +Loading (594) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (595) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh [4 ms, 123 lines, SystemVerilog_2012] ... +Loading (596) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram2reg_adapter.svh [2 ms, 113 lines, SystemVerilog_2012] ... +Loading (597) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh [0 ms, 72 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg.sv [56 ms, 94 lines, SystemVerilog_2012] ... +Loading (598) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_rd.sv ... +Optimizing (599) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_rd.sv [7 ms, 417 lines, SystemVerilog_2012] ... +Loading (600) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_sender.sv ... +Optimizing (601) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_alert_sender.sv [6 ms, 393 lines, SystemVerilog_2012] ... +Loading (602) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_arb.sv ... +Optimizing (603) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_arb.sv [1 ms, 141 lines, SystemVerilog_2012] ... +Loading (604) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_wr.sv ... +Optimizing (605) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_wr.sv [2 ms, 190 lines, SystemVerilog_2012] ... +Loading (606) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_rd.sv ... +Optimizing (607) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_rd.sv [2 ms, 189 lines, SystemVerilog_2012] ... +Loading (608) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sel_buf_chk.sv ... +Optimizing (609) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sel_buf_chk.sv [2 ms, 165 lines, SystemVerilog_2012] ... +Loading (610) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_top.sv ... +Optimizing (611) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_top.sv [51 ms, 1948 lines, SystemVerilog_2012] ... +Loading (612) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm.sv ... +Optimizing (613) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm.sv [2 ms, 131 lines, SystemVerilog_2012] ... +Loading (614) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_dom.sv ... +Optimizing (615) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_dom.sv [14 ms, 1078 lines, SystemVerilog_2012] ... +Loading (616) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ghash.sv ... +Optimizing (617) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ghash.sv [8 ms, 706 lines, SystemVerilog_2012] ... +Loading (618) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control.sv ... +Optimizing (619) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control.sv [12 ms, 639 lines, SystemVerilog_2012] ... +Loading (620) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv ... +Optimizing (621) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv [20 ms, 731 lines, SystemVerilog_2012] ... +Loading (622) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_masking.sv ... +Optimizing (623) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_masking.sv [1 ms, 151 lines, SystemVerilog_2012] ... +Loading (624) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_core.sv ... +Optimizing (625) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_core.sv [11 ms, 933 lines, SystemVerilog_2012] ... +Loading (626) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox.sv ... +Optimizing (627) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox.sv [2 ms, 145 lines, SystemVerilog_2012] ... +Loading (628) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_key_expand.sv ... +Optimizing (629) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_key_expand.sv [13 ms, 504 lines, SystemVerilog_2012] ... +Loading (630) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm.sv ... +Optimizing (631) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm.sv [5 ms, 516 lines, SystemVerilog_2012] ... +Loading (632) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv ... +Optimizing (633) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv [28 ms, 1138 lines, SystemVerilog_2012] ... +Loading (634) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes.sv ... +Optimizing (635) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes.sv [3 ms, 308 lines, SystemVerilog_2012] ... +Loading (636) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_clearing.sv ... +Optimizing (637) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_clearing.sv [2 ms, 166 lines, SystemVerilog_2012] ... +Loading (638) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm.sv ... +Optimizing (639) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm.sv [67 ms, 1137 lines, SystemVerilog_2012] ... +Loading (640) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv ... +Optimizing (641) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop_en.sv [0 ms, 40 lines, SystemVerilog_2012] ... +Loading (642) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv ... +Optimizing (643) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_flop.sv [1 ms, 26 lines, SystemVerilog_2012] ... +Loading (644) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv ... +Optimizing (645) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim_generic/rtl/caliptra_prim_generic_buf.sv [0 ms, 19 lines, SystemVerilog_2012] ... +Loading (646) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_flop.sv ... +Optimizing (647) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_flop.sv [0 ms, 28 lines, SystemVerilog_2012] ... +Loading (648) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_buf.sv ... +Optimizing (649) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sec_anchor_buf.sv [1 ms, 22 lines, SystemVerilog_2012] ... +Loading (650) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_reg_we_check.sv ... +Optimizing (651) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_reg_we_check.sv [0 ms, 55 lines, SystemVerilog_2012] ... +Loading (652) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_packer_fifo.sv ... +Optimizing (653) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_packer_fifo.sv [2 ms, 181 lines, SystemVerilog_2012] ... +Loading (654) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync_cnt.sv ... +Optimizing (655) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync_cnt.sv [2 ms, 104 lines, SystemVerilog_2012] ... +Loading (656) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_dom_and_2share.sv ... +Optimizing (657) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_dom_and_2share.sv [2 ms, 174 lines, SystemVerilog_2012] ... +Loading (658) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack.sv ... +Optimizing (659) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack.sv [3 ms, 405 lines, SystemVerilog_2012] ... +Loading (660) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_diff_decode.sv ... +Optimizing (661) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_diff_decode.sv [2 ms, 286 lines, SystemVerilog_2012] ... +Loading (662) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_state_db.sv ... +Optimizing (663) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_state_db.sv [3 ms, 225 lines, SystemVerilog_2012] ... +Loading (664) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_data_integ_enc.sv ... +Optimizing (665) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_data_integ_enc.sv [1 ms, 23 lines, SystemVerilog_2012] ... +Loading (666) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_data_integ_dec.sv ... +Optimizing (667) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_data_integ_dec.sv [0 ms, 28 lines, SystemVerilog_2012] ... +Loading (668) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_trivium.sv ... +Optimizing (669) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_trivium.sv [4 ms, 318 lines, SystemVerilog_2012] ... +Loading (670) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctrl_reg_shadowed.sv ... +Optimizing (671) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctrl_reg_shadowed.sv [2 ms, 278 lines, SystemVerilog_2012] ... +Loading (672) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctrl_gcm_reg_shadowed.sv ... +Optimizing (673) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctrl_gcm_reg_shadowed.sv [45 ms, 202 lines, SystemVerilog_2012] ... +Loading (674) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm_p.sv ... +Optimizing (675) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm_p.sv [5 ms, 617 lines, SystemVerilog_2012] ... +Loading (676) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm_n.sv ... +Optimizing (677) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_control_fsm_n.sv [6 ms, 627 lines, SystemVerilog_2012] ... +Loading (678) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi_pkg.sv ... +Optimizing (679) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi_pkg.sv [23 ms, 546 lines, SystemVerilog_2012] ... +Loading (680) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv ... +Optimizing (681) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv [46 ms, 125 lines, SystemVerilog_2012] ... +Loading (682) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_slicer.sv ... +Optimizing (683) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_slicer.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (684) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv ... +Optimizing (685) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv [2 ms, 153 lines, SystemVerilog_2012] ... +Loading (686) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_gf_mult.sv ... +Optimizing (687) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_gf_mult.sv [3 ms, 173 lines, SystemVerilog_2012] ... +Loading (688) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv ... +Optimizing (689) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv [3 ms, 199 lines, SystemVerilog_2012] ... +Loading (690) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack_data.sv ... +Optimizing (691) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack_data.sv [1 ms, 179 lines, SystemVerilog_2012] ... +Loading (692) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_count.sv ... +Optimizing (693) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_count.sv [4 ms, 263 lines, SystemVerilog_2012] ... +Loading (694) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_top.sv ... +Optimizing (695) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_top.sv [18 ms, 1773 lines, SystemVerilog_2012] ... +Loading (696) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart.sv ... +Optimizing (697) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart.sv [2 ms, 147 lines, SystemVerilog_2012] ... +Loading (698) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_top.sv ... +Optimizing (699) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_top.sv [79 ms, 2221 lines, SystemVerilog_2012] ... +Loading (700) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv ... +Optimizing (701) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv [104 ms, 3921 lines, SystemVerilog_2012] ... +Loading (702) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_top.sv ... +Optimizing (703) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_top.sv [31 ms, 2094 lines, SystemVerilog_2012] ... +Loading (704) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_gen.sv ... +Optimizing (705) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_gen.sv [4 ms, 61 lines, SystemVerilog_2012] ... +Loading (706) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_chk.sv ... +Optimizing (707) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_chk.sv [1 ms, 56 lines, SystemVerilog_2012] ... +Loading (708) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv ... +Optimizing (709) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv [2 ms, 104 lines, SystemVerilog_2012] ... +Loading (710) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_gen.sv ... +Optimizing (711) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_gen.sv [0 ms, 59 lines, SystemVerilog_2012] ... +Loading (712) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_chk.sv ... +Optimizing (713) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_chk.sv [1 ms, 55 lines, SystemVerilog_2012] ... +Loading (714) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv ... +Optimizing (715) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (716) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi8_sync.sv ... +Optimizing (717) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi8_sync.sv [2 ms, 180 lines, SystemVerilog_2012] ... +Loading (718) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi4_sync.sv ... +Optimizing (719) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_mubi4_sync.sv [3 ms, 179 lines, SystemVerilog_2012] ... +Loading (720) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_pkg.sv ... +Optimizing (721) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/lc_ctrl/rtl/lc_ctrl_pkg.sv [4 ms, 363 lines, SystemVerilog_2012] ... +Loading (722) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_ctrl.sv ... +Loading (723) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_macros.svh [0 ms, 40 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_ctrl.sv [1 ms, 141 lines, SystemVerilog_2012] ... +Loading (724) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host.sv ... +Optimizing (725) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host.sv [18 ms, 580 lines, SystemVerilog_2012] ... +Loading (726) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv ... +Optimizing (727) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv [2 ms, 150 lines, SystemVerilog_2012] ... +Loading (728) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_reg.sv ... +Optimizing (729) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_reg.sv [3 ms, 232 lines, SystemVerilog_2012] ... +Loading (730) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_lc_sync.sv ... +Optimizing (731) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_lc_sync.sv [4 ms, 122 lines, SystemVerilog_2012] ... +Loading (732) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3.sv ... +Optimizing (733) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3.sv [5 ms, 494 lines, SystemVerilog_2012] ... +Loading (734) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_2share.sv ... +Optimizing (735) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_2share.sv [11 ms, 643 lines, SystemVerilog_2012] ... +Loading (736) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv ... +Optimizing (737) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv [2 ms, 156 lines, SystemVerilog_2012] ... +Loading (738) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_lfsr.sv ... +Optimizing (739) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_lfsr.sv [10 ms, 700 lines, SystemVerilog_2012] ... +Loading (740) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_shadow.sv ... +Optimizing (741) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_shadow.sv [3 ms, 197 lines, SystemVerilog_2012] ... +Loading (742) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_round.sv ... +Optimizing (743) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_round.sv [6 ms, 529 lines, SystemVerilog_2012] ... +Loading (744) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3pad.sv ... +Optimizing (745) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3pad.sv [49 ms, 896 lines, SystemVerilog_2012] ... +Loading (746) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src.sv ... +Optimizing (747) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src.sv [58 ms, 431 lines, SystemVerilog_2012] ... +Loading (748) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng.sv ... +Optimizing (749) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng.sv [2 ms, 241 lines, SystemVerilog_2012] ... +Loading (750) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_ctrl.sv ... +Optimizing (751) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_ctrl.sv [10 ms, 936 lines, SystemVerilog_2012] ... +Loading (752) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv ... +Optimizing (753) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv [19 ms, 997 lines, SystemVerilog_2012] ... +Loading (754) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv ... +Optimizing (755) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_reg_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv [24 ms, 1721 lines, SystemVerilog_2012] ... +Loading (756) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv ... +Optimizing (757) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv [54 ms, 1686 lines, SystemVerilog_2012] ... +Loading (758) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lc_sync.sv ... +Optimizing (759) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_lc_sync.sv [2 ms, 122 lines, SystemVerilog_2012] ... +Loading (760) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg_hdl.sv ... +Loading (761) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (762) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_macros.svh [0 ms, 191 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (763) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv ... +Optimizing (764) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (765) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_macros.svh ... +Loading (766) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_typedefs.svh [2 ms, 137 lines, SystemVerilog_2012] ... +Loading (767) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh [3 ms, 257 lines, SystemVerilog_2012] ... +Loading (768) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh [258 ms, 3671 lines, SystemVerilog_2012] ... +Loading (769) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh [16 ms, 670 lines, SystemVerilog_2012] ... +Loading (770) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_reg_cov_subscriber.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_reg_cov_subscriber.svh [23 ms, 59 lines, SystemVerilog_2012] ... +Loading (771) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh [8 ms, 615 lines, SystemVerilog_2012] ... +Loading (772) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh [35 ms, 378 lines, SystemVerilog_2012] ... +Loading (773) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh [2 ms, 117 lines, SystemVerilog_2012] ... +Loading (774) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh [10 ms, 272 lines, SystemVerilog_2012] ... +Loading (775) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_bringup_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_bringup_sequence.svh [5 ms, 48 lines, SystemVerilog_2012] ... +Loading (776) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh [6 ms, 124 lines, SystemVerilog_2012] ... +Loading (777) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh [5 ms, 120 lines, SystemVerilog_2012] ... +Loading (778) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_warm_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_warm_sequence.svh [1 ms, 47 lines, SystemVerilog_2012] ... +Loading (779) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_cold_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_cold_sequence.svh [15 ms, 47 lines, SystemVerilog_2012] ... +Loading (780) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh [33 ms, 796 lines, SystemVerilog_2012] ... +Loading (781) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh [3 ms, 66 lines, SystemVerilog_2012] ... +Loading (782) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh [3 ms, 86 lines, SystemVerilog_2012] ... +Loading (783) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_fw_upd_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_fw_upd_sequence.svh [1 ms, 37 lines, SystemVerilog_2012] ... +Loading (784) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh [4 ms, 98 lines, SystemVerilog_2012] ... +Loading (785) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_small_sequence.svh [2 ms, 42 lines, SystemVerilog_2012] ... +Loading (786) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh [2 ms, 66 lines, SystemVerilog_2012] ... +Loading (787) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh [3 ms, 67 lines, SystemVerilog_2012] ... +Loading (788) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_sequence.svh [1 ms, 46 lines, SystemVerilog_2012] ... +Loading (789) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_large_sequence.svh [1 ms, 42 lines, SystemVerilog_2012] ... +Loading (790) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh [7 ms, 107 lines, SystemVerilog_2012] ... +Loading (791) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_sequence.svh [2 ms, 69 lines, SystemVerilog_2012] ... +Loading (792) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_small_sequence.svh [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (793) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_medium_sequence.svh [2 ms, 43 lines, SystemVerilog_2012] ... +Loading (794) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_large_sequence.svh [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (795) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_sequence.svh [1 ms, 76 lines, SystemVerilog_2012] ... +Loading (796) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_small_sequence.svh [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (797) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_medium_sequence.svh [2 ms, 44 lines, SystemVerilog_2012] ... +Loading (798) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_large_sequence.svh [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (799) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh [6 ms, 201 lines, SystemVerilog_2012] ... +Loading (800) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_small_sequence.svh [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (801) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_medium_sequence.svh [1 ms, 46 lines, SystemVerilog_2012] ... +Loading (802) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_large_sequence.svh [1 ms, 42 lines, SystemVerilog_2012] ... +Loading (803) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh [2 ms, 53 lines, SystemVerilog_2012] ... +Loading (804) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dir_read_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dir_read_sequence.svh [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (805) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh [2 ms, 78 lines, SystemVerilog_2012] ... +Loading (806) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_small_sequence.svh [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (807) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_medium_sequence.svh [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (808) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_large_sequence.svh [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (809) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh [3 ms, 77 lines, SystemVerilog_2012] ... +Loading (810) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_small_sequence.svh [3 ms, 39 lines, SystemVerilog_2012] ... +Loading (811) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_medium_sequence.svh [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (812) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_large_sequence.svh [4 ms, 39 lines, SystemVerilog_2012] ... +Loading (813) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh [7 ms, 133 lines, SystemVerilog_2012] ... +Loading (814) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_small_sequence.svh [1 ms, 48 lines, SystemVerilog_2012] ... +Loading (815) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence.svh [1 ms, 49 lines, SystemVerilog_2012] ... +Loading (816) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_large_sequence.svh [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (817) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh [6 ms, 248 lines, SystemVerilog_2012] ... +Loading (818) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh [3 ms, 131 lines, SystemVerilog_2012] ... +Loading (819) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh [2 ms, 48 lines, SystemVerilog_2012] ... +Loading (820) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh [1 ms, 48 lines, SystemVerilog_2012] ... +Loading (821) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh [4 ms, 155 lines, SystemVerilog_2012] ... +Loading (822) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh [6 ms, 220 lines, SystemVerilog_2012] ... +Loading (823) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh [9 ms, 281 lines, SystemVerilog_2012] ... +Loading (824) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh [13 ms, 212 lines, SystemVerilog_2012] ... +Loading (825) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh [18 ms, 360 lines, SystemVerilog_2012] ... +Loading (826) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh [6 ms, 218 lines, SystemVerilog_2012] ... +Loading (827) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh [2 ms, 121 lines, SystemVerilog_2012] ... +Loading (828) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_independent_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_independent_sequence.svh [1 ms, 50 lines, SystemVerilog_2012] ... +Loading (829) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_cascade_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_cascade_sequence.svh [1 ms, 50 lines, SystemVerilog_2012] ... +Loading (830) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh [4 ms, 141 lines, SystemVerilog_2012] ... +Loading (831) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh [3 ms, 88 lines, SystemVerilog_2012] ... +Loading (832) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh [3 ms, 125 lines, SystemVerilog_2012] ... +Loading (833) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh [2 ms, 125 lines, SystemVerilog_2012] ... +Loading (834) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh [22 ms, 471 lines, SystemVerilog_2012] ... +Loading (835) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh [27 ms, 288 lines, SystemVerilog_2012] ... +Loading (836) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh [2 ms, 64 lines, SystemVerilog_2012] ... +Loading (837) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh [2 ms, 74 lines, SystemVerilog_2012] ... +Loading (838) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh [22 ms, 536 lines, SystemVerilog_2012] ... +Loading (839) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_rand_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_rand_small_sequence.svh [11 ms, 39 lines, SystemVerilog_2012] ... +Loading (840) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh [2 ms, 119 lines, SystemVerilog_2012] ... +Loading (841) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (842) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (843) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (844) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (845) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh [2 ms, 44 lines, SystemVerilog_2012] ... +Loading (846) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (847) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh [2 ms, 53 lines, SystemVerilog_2012] ... +Loading (848) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (849) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh [2 ms, 51 lines, SystemVerilog_2012] ... +Loading (850) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh [3 ms, 106 lines, SystemVerilog_2012] ... +Loading (851) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh [3 ms, 125 lines, SystemVerilog_2012] ... +Loading (852) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh [3 ms, 123 lines, SystemVerilog_2012] ... +Loading (853) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh [4 ms, 171 lines, SystemVerilog_2012] ... +Loading (854) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (855) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh [5 ms, 45 lines, SystemVerilog_2012] ... +Loading (856) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh [2 ms, 45 lines, SystemVerilog_2012] ... +Loading (857) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh [2 ms, 55 lines, SystemVerilog_2012] ... +Loading (858) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh [2 ms, 55 lines, SystemVerilog_2012] ... +Loading (859) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh [2 ms, 55 lines, SystemVerilog_2012] ... +Loading (860) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh [2 ms, 44 lines, SystemVerilog_2012] ... +Loading (861) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (862) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (863) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh [2 ms, 54 lines, SystemVerilog_2012] ... +Loading (864) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh [2 ms, 54 lines, SystemVerilog_2012] ... +Loading (865) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh [2 ms, 54 lines, SystemVerilog_2012] ... +Loading (866) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh [3 ms, 135 lines, SystemVerilog_2012] ... +Loading (867) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh [3 ms, 103 lines, SystemVerilog_2012] ... +Loading (868) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh [13 ms, 112 lines, SystemVerilog_2012] ... +Loading (869) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh [2 ms, 50 lines, SystemVerilog_2012] ... +Loading (870) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh [1 ms, 50 lines, SystemVerilog_2012] ... +Loading (871) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh [2 ms, 119 lines, SystemVerilog_2012] ... +Loading (872) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (873) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh [2 ms, 54 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv [531 ms, 324 lines, SystemVerilog_2012] ... +Loading (874) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv ... +Optimizing (875) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_macros.svh ... +Optimizing (876) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv [3 ms, 289 lines, SystemVerilog_2012] ... +Loading (877) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv ... +Optimizing (878) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_macros.svh ... +Optimizing (879) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv [2 ms, 366 lines, SystemVerilog_2012] ... +Loading (880) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg_hdl.sv ... +Loading (881) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_typedefs_hdl.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_typedefs_hdl.svh [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (882) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_macros.svh [0 ms, 254 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg_hdl.sv [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (883) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv ... +Optimizing (884) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_macros.svh ... +Optimizing (885) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv [3 ms, 323 lines, SystemVerilog_2012] ... +Loading (886) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv ... +Optimizing (887) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_macros.svh ... +Optimizing (888) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv [3 ms, 491 lines, SystemVerilog_2012] ... +Loading (889) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg.sv ... +Optimizing (890) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (891) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_macros.svh ... +Loading (892) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (893) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh [4 ms, 249 lines, SystemVerilog_2012] ... +Loading (894) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh [7 ms, 194 lines, SystemVerilog_2012] ... +Loading (895) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh [65 ms, 106 lines, SystemVerilog_2012] ... +Loading (896) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh [1 ms, 111 lines, SystemVerilog_2012] ... +Loading (897) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction_coverage.svh [4 ms, 172 lines, SystemVerilog_2012] ... +Loading (898) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh [1 ms, 111 lines, SystemVerilog_2012] ... +Loading (899) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh [2 ms, 68 lines, SystemVerilog_2012] ... +Loading (900) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh [2 ms, 64 lines, SystemVerilog_2012] ... +Loading (901) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status2reg_adapter.svh [52 ms, 113 lines, SystemVerilog_2012] ... +Loading (902) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh [1 ms, 72 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg.sv [21 ms, 95 lines, SystemVerilog_2012] ... +Loading (903) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg.sv ... +Optimizing (904) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (905) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_macros.svh ... +Loading (906) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (907) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh [4 ms, 238 lines, SystemVerilog_2012] ... +Loading (908) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh [66 ms, 203 lines, SystemVerilog_2012] ... +Loading (909) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh [2 ms, 106 lines, SystemVerilog_2012] ... +Loading (910) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (911) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction_coverage.svh [3 ms, 129 lines, SystemVerilog_2012] ... +Loading (912) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh [1 ms, 111 lines, SystemVerilog_2012] ... +Loading (913) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (914) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh [1 ms, 64 lines, SystemVerilog_2012] ... +Loading (915) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl2reg_adapter.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (916) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh [0 ms, 68 lines, SystemVerilog_2012] ... +Loading (917) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh [10 ms, 131 lines, SystemVerilog_2012] ... +Loading (918) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_poweron_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_poweron_sequence.svh [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (919) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh [2 ms, 63 lines, SystemVerilog_2012] ... +Loading (920) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_warm_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_warm_sequence.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (921) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_cold_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_cold_sequence.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (922) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh [2 ms, 71 lines, SystemVerilog_2012] ... +Loading (923) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh [2 ms, 85 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg.sv [63 ms, 100 lines, SystemVerilog_2012] ... +Loading (924) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv ... +Optimizing (925) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_config_defines.svh ... +Optimizing (926) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv [23 ms, 1225 lines, SystemVerilog_2012] ... +Loading (927) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_util_pkg.sv [1 ms, 57 lines, SystemVerilog_2012] ... +Loading (928) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_pkg.sv [2 ms, 93 lines, SystemVerilog_2012] ... +Loading (929) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_adapter_functions_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_adapter_functions_pkg.sv [1 ms, 99 lines, SystemVerilog_2012] ... +Loading (930) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv ... +Optimizing (931) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (932) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_macros.svh ... +Loading (933) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (934) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh [3 ms, 223 lines, SystemVerilog_2012] ... +Loading (935) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh [2 ms, 218 lines, SystemVerilog_2012] ... +Loading (936) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh [1 ms, 124 lines, SystemVerilog_2012] ... +Loading (937) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh [1 ms, 117 lines, SystemVerilog_2012] ... +Loading (938) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction_coverage.svh [10 ms, 118 lines, SystemVerilog_2012] ... +Loading (939) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh [1 ms, 129 lines, SystemVerilog_2012] ... +Loading (940) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh [1 ms, 76 lines, SystemVerilog_2012] ... +Loading (941) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh [4 ms, 100 lines, SystemVerilog_2012] ... +Loading (942) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh [1 ms, 76 lines, SystemVerilog_2012] ... +Loading (943) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read2reg_adapter.svh [2 ms, 133 lines, SystemVerilog_2012] ... +Loading (944) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh [8 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv [1 ms, 94 lines, SystemVerilog_2012] ... +Loading (945) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_adapter_functions_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_adapter_functions_pkg.sv [2 ms, 99 lines, SystemVerilog_2012] ... +Loading (946) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv ... +Optimizing (947) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (948) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_macros.svh ... +Loading (949) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (950) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh [2 ms, 226 lines, SystemVerilog_2012] ... +Loading (951) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh [3 ms, 218 lines, SystemVerilog_2012] ... +Loading (952) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh [1 ms, 124 lines, SystemVerilog_2012] ... +Loading (953) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh [1 ms, 117 lines, SystemVerilog_2012] ... +Loading (954) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction_coverage.svh [1 ms, 116 lines, SystemVerilog_2012] ... +Loading (955) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh [3 ms, 129 lines, SystemVerilog_2012] ... +Loading (956) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh [1 ms, 80 lines, SystemVerilog_2012] ... +Loading (957) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh [4 ms, 100 lines, SystemVerilog_2012] ... +Loading (958) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh [1 ms, 76 lines, SystemVerilog_2012] ... +Loading (959) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read2reg_adapter.svh [2 ms, 132 lines, SystemVerilog_2012] ... +Loading (960) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh [0 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv [1 ms, 94 lines, SystemVerilog_2012] ... +Loading (961) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv ... +Optimizing (962) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (963) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_macros.svh ... +Loading (964) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (965) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh [2 ms, 205 lines, SystemVerilog_2012] ... +Loading (966) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh [2 ms, 212 lines, SystemVerilog_2012] ... +Loading (967) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh [1 ms, 116 lines, SystemVerilog_2012] ... +Loading (968) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh [1 ms, 108 lines, SystemVerilog_2012] ... +Loading (969) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction_coverage.svh [1 ms, 84 lines, SystemVerilog_2012] ... +Loading (970) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh [1 ms, 121 lines, SystemVerilog_2012] ... +Loading (971) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (972) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh [6 ms, 67 lines, SystemVerilog_2012] ... +Loading (973) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (974) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (975) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh [1 ms, 66 lines, SystemVerilog_2012] ... +Loading (976) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in2reg_adapter.svh [1 ms, 119 lines, SystemVerilog_2012] ... +Loading (977) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh [0 ms, 82 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv [83 ms, 81 lines, SystemVerilog_2012] ... +Loading (978) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv ... +Optimizing (979) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (980) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_macros.svh ... +Loading (981) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (982) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh [3 ms, 227 lines, SystemVerilog_2012] ... +Loading (983) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh [4 ms, 220 lines, SystemVerilog_2012] ... +Loading (984) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh [2 ms, 122 lines, SystemVerilog_2012] ... +Loading (985) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (986) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction_coverage.svh [1 ms, 91 lines, SystemVerilog_2012] ... +Loading (987) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh [2 ms, 127 lines, SystemVerilog_2012] ... +Loading (988) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (989) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (990) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out2reg_adapter.svh [2 ms, 124 lines, SystemVerilog_2012] ... +Loading (991) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh [43 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv [70 ms, 78 lines, SystemVerilog_2012] ... +Loading (992) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv ... +Optimizing (993) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (994) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_macros.svh ... +Loading (995) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_typedefs.svh [1 ms, 21 lines, SystemVerilog_2012] ... +Loading (996) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh [2 ms, 231 lines, SystemVerilog_2012] ... +Loading (997) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh [3 ms, 220 lines, SystemVerilog_2012] ... +Loading (998) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh [1 ms, 122 lines, SystemVerilog_2012] ... +Loading (999) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (1000) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction_coverage.svh [1 ms, 88 lines, SystemVerilog_2012] ... +Loading (1001) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh [3 ms, 127 lines, SystemVerilog_2012] ... +Loading (1002) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh [1 ms, 83 lines, SystemVerilog_2012] ... +Loading (1003) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh [2 ms, 71 lines, SystemVerilog_2012] ... +Loading (1004) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh [1 ms, 71 lines, SystemVerilog_2012] ... +Loading (1005) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh [2 ms, 70 lines, SystemVerilog_2012] ... +Loading (1006) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in2reg_adapter.svh [18 ms, 124 lines, SystemVerilog_2012] ... +Loading (1007) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh [0 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv [64 ms, 80 lines, SystemVerilog_2012] ... +Loading (1008) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv ... +Optimizing (1009) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1010) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_macros.svh ... +Loading (1011) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1012) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh [2 ms, 207 lines, SystemVerilog_2012] ... +Loading (1013) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh [3 ms, 228 lines, SystemVerilog_2012] ... +Loading (1014) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh [1 ms, 128 lines, SystemVerilog_2012] ... +Loading (1015) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh [1 ms, 118 lines, SystemVerilog_2012] ... +Loading (1016) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction_coverage.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Loading (1017) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh [2 ms, 133 lines, SystemVerilog_2012] ... +Loading (1018) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh [1 ms, 78 lines, SystemVerilog_2012] ... +Loading (1019) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (1020) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out2reg_adapter.svh [2 ms, 129 lines, SystemVerilog_2012] ... +Loading (1021) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh [1 ms, 96 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv [16 ms, 78 lines, SystemVerilog_2012] ... +Loading (1022) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv ... +Optimizing (1023) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1024) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_macros.svh ... +Loading (1025) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1026) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh [3 ms, 211 lines, SystemVerilog_2012] ... +Loading (1027) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh [2 ms, 194 lines, SystemVerilog_2012] ... +Loading (1028) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (1029) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (1030) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction_coverage.svh [1 ms, 98 lines, SystemVerilog_2012] ... +Loading (1031) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh [2 ms, 111 lines, SystemVerilog_2012] ... +Loading (1032) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (1033) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh [1 ms, 64 lines, SystemVerilog_2012] ... +Loading (1034) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst2reg_adapter.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (1035) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh [15 ms, 94 lines, SystemVerilog_2012] ... +Loading (1036) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh [3 ms, 84 lines, SystemVerilog_2012] ... +Loading (1037) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh [5 ms, 100 lines, SystemVerilog_2012] ... +Loading (1038) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh [2 ms, 84 lines, SystemVerilog_2012] ... +Loading (1039) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh [2 ms, 84 lines, SystemVerilog_2012] ... +Loading (1040) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (1041) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh [2 ms, 69 lines, SystemVerilog_2012] ... +Loading (1042) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh [3 ms, 86 lines, SystemVerilog_2012] ... +Loading (1043) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (1044) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv [50 ms, 101 lines, SystemVerilog_2012] ... +Loading (1045) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv ... +Optimizing (1046) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1047) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_macros.svh ... +Loading (1048) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1049) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh [5 ms, 227 lines, SystemVerilog_2012] ... +Loading (1050) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh [2 ms, 218 lines, SystemVerilog_2012] ... +Loading (1051) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh [1 ms, 124 lines, SystemVerilog_2012] ... +Loading (1052) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh [1 ms, 117 lines, SystemVerilog_2012] ... +Loading (1053) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction_coverage.svh [1 ms, 126 lines, SystemVerilog_2012] ... +Loading (1054) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh [2 ms, 129 lines, SystemVerilog_2012] ... +Loading (1055) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh [26 ms, 77 lines, SystemVerilog_2012] ... +Loading (1056) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh [2 ms, 89 lines, SystemVerilog_2012] ... +Loading (1057) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh [4 ms, 106 lines, SystemVerilog_2012] ... +Loading (1058) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh [2 ms, 78 lines, SystemVerilog_2012] ... +Loading (1059) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh [2 ms, 76 lines, SystemVerilog_2012] ... +Loading (1060) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write2reg_adapter.svh [2 ms, 153 lines, SystemVerilog_2012] ... +Loading (1061) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh [0 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv [0 ms, 96 lines, SystemVerilog_2012] ... +Loading (1062) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv ... +Optimizing (1063) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1064) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_macros.svh ... +Loading (1065) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1066) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh [5 ms, 206 lines, SystemVerilog_2012] ... +Loading (1067) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh [3 ms, 194 lines, SystemVerilog_2012] ... +Loading (1068) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (1069) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh [0 ms, 102 lines, SystemVerilog_2012] ... +Loading (1070) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction_coverage.svh [1 ms, 86 lines, SystemVerilog_2012] ... +Loading (1071) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh [2 ms, 111 lines, SystemVerilog_2012] ... +Loading (1072) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (1073) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh [46 ms, 94 lines, SystemVerilog_2012] ... +Loading (1074) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh [2 ms, 80 lines, SystemVerilog_2012] ... +Loading (1075) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh [2 ms, 80 lines, SystemVerilog_2012] ... +Loading (1076) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh [2 ms, 88 lines, SystemVerilog_2012] ... +Loading (1077) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh [1 ms, 64 lines, SystemVerilog_2012] ... +Loading (1078) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst2reg_adapter.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (1079) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh [0 ms, 68 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv [4 ms, 96 lines, SystemVerilog_2012] ... +Loading (1080) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv ... +Optimizing (1081) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1082) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_macros.svh ... +Loading (1083) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1084) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh [3 ms, 225 lines, SystemVerilog_2012] ... +Loading (1085) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh [2 ms, 218 lines, SystemVerilog_2012] ... +Loading (1086) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh [1 ms, 124 lines, SystemVerilog_2012] ... +Loading (1087) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh [1 ms, 117 lines, SystemVerilog_2012] ... +Loading (1088) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction_coverage.svh [2 ms, 116 lines, SystemVerilog_2012] ... +Loading (1089) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh [2 ms, 129 lines, SystemVerilog_2012] ... +Loading (1090) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh [2 ms, 80 lines, SystemVerilog_2012] ... +Loading (1091) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh [3 ms, 102 lines, SystemVerilog_2012] ... +Loading (1092) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh [1 ms, 76 lines, SystemVerilog_2012] ... +Loading (1093) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write2reg_adapter.svh [6 ms, 135 lines, SystemVerilog_2012] ... +Loading (1094) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv [15 ms, 94 lines, SystemVerilog_2012] ... +Loading (1095) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv ... +Optimizing (1096) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1097) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_macros.svh ... +Loading (1098) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1099) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh [3 ms, 208 lines, SystemVerilog_2012] ... +Loading (1100) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh [3 ms, 220 lines, SystemVerilog_2012] ... +Loading (1101) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh [2 ms, 122 lines, SystemVerilog_2012] ... +Loading (1102) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (1103) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction_coverage.svh [1 ms, 87 lines, SystemVerilog_2012] ... +Loading (1104) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh [2 ms, 127 lines, SystemVerilog_2012] ... +Loading (1105) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (1106) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh [2 ms, 70 lines, SystemVerilog_2012] ... +Loading (1107) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (1108) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in2reg_adapter.svh [1 ms, 124 lines, SystemVerilog_2012] ... +Loading (1109) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh [1 ms, 87 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv [51 ms, 79 lines, SystemVerilog_2012] ... +Loading (1110) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv ... +Optimizing (1111) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Optimizing (1112) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_macros.svh ... +Loading (1113) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1114) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh [2 ms, 207 lines, SystemVerilog_2012] ... +Loading (1115) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh [3 ms, 228 lines, SystemVerilog_2012] ... +Loading (1116) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh [1 ms, 128 lines, SystemVerilog_2012] ... +Loading (1117) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh [1 ms, 118 lines, SystemVerilog_2012] ... +Loading (1118) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction_coverage.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction_coverage.svh [1 ms, 89 lines, SystemVerilog_2012] ... +Loading (1119) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh [2 ms, 133 lines, SystemVerilog_2012] ... +Loading (1120) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh [2 ms, 78 lines, SystemVerilog_2012] ... +Loading (1121) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh [2 ms, 74 lines, SystemVerilog_2012] ... +Loading (1122) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out2reg_adapter.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out2reg_adapter.svh [1 ms, 129 lines, SystemVerilog_2012] ... +Loading (1123) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh [1 ms, 94 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv [58 ms, 78 lines, SystemVerilog_2012] ... +Loading (1124) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/coverage/soc_ifc_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/coverage/soc_ifc_cov_if.sv [161 ms, 3799 lines, SystemVerilog_2012] ... +Loading (1125) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv ... +Loading (1126) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_lifecycle_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_lifecycle_test.svh [13 ms, 88 lines, SystemVerilog_2012] ... +Loading (1127) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_perm_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_perm_test.svh [2 ms, 144 lines, SystemVerilog_2012] ... +Loading (1128) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh [4 ms, 337 lines, SystemVerilog_2012] ... +Loading (1129) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_test.svh [2 ms, 114 lines, SystemVerilog_2012] ... +Loading (1130) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh [1 ms, 93 lines, SystemVerilog_2012] ... +Loading (1131) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh [9 ms, 281 lines, SystemVerilog_2012] ... +Loading (1132) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (1133) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh [4 ms, 246 lines, SystemVerilog_2012] ... +Loading (1134) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_invalid_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_invalid_test.svh [2 ms, 164 lines, SystemVerilog_2012] ... +Loading (1135) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh [50 ms, 413 lines, SystemVerilog_2012] ... +Loading (1136) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/sha_acc_intrblk_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/sha_acc_intrblk_test.svh [2 ms, 237 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv [33 ms, 1578 lines, SystemVerilog_2012] ... +Loading (1137) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_uvm.sv ... +Optimizing (1138) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1139) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_covergroups.svh [1 ms, 96 lines, SystemVerilog_2012] ... +Loading (1140) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_sample.svh [4 ms, 108 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_uvm.sv [20 ms, 168 lines, SystemVerilog_2012] ... +Loading (1141) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_model_top_pkg.sv ... +Optimizing (1142) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_model_top_pkg.sv [11 ms, 502 lines, SystemVerilog_2012] ... +Loading (1143) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv ... +Optimizing (1144) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1145) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1146) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh [4 ms, 263 lines, SystemVerilog_2012] ... +Loading (1147) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh [15 ms, 1003 lines, SystemVerilog_2012] ... +Loading (1148) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_reg_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_reg_predictor.svh [5 ms, 270 lines, SystemVerilog_2012] ... +Loading (1149) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh [20 ms, 752 lines, SystemVerilog_2012] ... +Loading (1150) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh [8 ms, 452 lines, SystemVerilog_2012] ... +Loading (1151) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh [5 ms, 229 lines, SystemVerilog_2012] ... +Loading (1152) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh [2 ms, 78 lines, SystemVerilog_2012] ... +Loading (1153) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh [7 ms, 177 lines, SystemVerilog_2012] ... +Loading (1154) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh [5 ms, 217 lines, SystemVerilog_2012] ... +Loading (1155) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh [7 ms, 314 lines, SystemVerilog_2012] ... +Loading (1156) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh [2 ms, 77 lines, SystemVerilog_2012] ... +Loading (1157) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh [28 ms, 260 lines, SystemVerilog_2012] ... +Loading (1158) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh [9 ms, 202 lines, SystemVerilog_2012] ... +Loading (1159) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh [7 ms, 198 lines, SystemVerilog_2012] ... +Loading (1160) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh [10 ms, 202 lines, SystemVerilog_2012] ... +Loading (1161) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh [7 ms, 229 lines, SystemVerilog_2012] ... +Loading (1162) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh [3 ms, 118 lines, SystemVerilog_2012] ... +Loading (1163) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh [12 ms, 118 lines, SystemVerilog_2012] ... +Loading (1164) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh [4 ms, 109 lines, SystemVerilog_2012] ... +Loading (1165) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh [4 ms, 118 lines, SystemVerilog_2012] ... +Loading (1166) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh [7 ms, 184 lines, SystemVerilog_2012] ... +Loading (1167) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh [64 ms, 231 lines, SystemVerilog_2012] ... +Loading (1168) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh [5 ms, 175 lines, SystemVerilog_2012] ... +Loading (1169) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh [5 ms, 171 lines, SystemVerilog_2012] ... +Loading (1170) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh [6 ms, 170 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv [232 ms, 142 lines, SystemVerilog_2012] ... +Loading (1171) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv ... +Loading (1172) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi [12 ms, 396 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv [64 ms, 1185 lines, SystemVerilog_2012] ... +Loading (1173) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_uvm.sv ... +Optimizing (1174) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1175) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_covergroups.svh [1 ms, 66 lines, SystemVerilog_2012] ... +Loading (1176) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_sample.svh [4 ms, 75 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_uvm.sv [2 ms, 112 lines, SystemVerilog_2012] ... +Loading (1177) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_model_top_pkg.sv ... +Optimizing (1178) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_model_top_pkg.sv [7 ms, 334 lines, SystemVerilog_2012] ... +Loading (1179) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv ... +Optimizing (1180) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1181) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_typedefs.svh [1 ms, 35 lines, SystemVerilog_2012] ... +Loading (1182) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh [3 ms, 202 lines, SystemVerilog_2012] ... +Loading (1183) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh [4 ms, 340 lines, SystemVerilog_2012] ... +Loading (1184) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_reg_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_reg_predictor.svh [2 ms, 154 lines, SystemVerilog_2012] ... +Loading (1185) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh [1 ms, 67 lines, SystemVerilog_2012] ... +Loading (1186) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh [12 ms, 349 lines, SystemVerilog_2012] ... +Loading (1187) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh [4 ms, 296 lines, SystemVerilog_2012] ... +Loading (1188) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh [2 ms, 99 lines, SystemVerilog_2012] ... +Loading (1189) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh [2 ms, 75 lines, SystemVerilog_2012] ... +Loading (1190) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh [3 ms, 121 lines, SystemVerilog_2012] ... +Loading (1191) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh [3 ms, 123 lines, SystemVerilog_2012] ... +Loading (1192) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh [28 ms, 126 lines, SystemVerilog_2012] ... +Loading (1193) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh [7 ms, 147 lines, SystemVerilog_2012] ... +Loading (1194) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh [4 ms, 121 lines, SystemVerilog_2012] ... +Loading (1195) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh [2 ms, 115 lines, SystemVerilog_2012] ... +Loading (1196) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh [7 ms, 118 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv [56 ms, 100 lines, SystemVerilog_2012] ... +Loading (1197) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv ... +Optimizing (1198) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1199) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_covergroups.svh [6 ms, 465 lines, SystemVerilog_2012] ... +Loading (1200) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_sample.svh [13 ms, 575 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv [56 ms, 1045 lines, SystemVerilog_2012] ... +Loading (1201) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv ... +Optimizing (1202) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1203) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_covergroups.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_covergroups.svh [0 ms, 465 lines, SystemVerilog_2012] ... +Loading (1204) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_sample.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_sample.svh [0 ms, 575 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv [30 ms, 943 lines, SystemVerilog_2012] ... +Loading (1205) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_model_top_pkg.sv ... +Optimizing (1206) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_model_top_pkg.sv [6 ms, 256 lines, SystemVerilog_2012] ... +Loading (1207) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv ... +Optimizing (1208) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1209) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_typedefs.svh [1 ms, 22 lines, SystemVerilog_2012] ... +Loading (1210) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh [3 ms, 189 lines, SystemVerilog_2012] ... +Loading (1211) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh [32 ms, 722 lines, SystemVerilog_2012] ... +Loading (1212) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh [6 ms, 293 lines, SystemVerilog_2012] ... +Loading (1213) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh [3 ms, 178 lines, SystemVerilog_2012] ... +Loading (1214) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh [1 ms, 63 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv [53 ms, 62 lines, SystemVerilog_2012] ... +Loading (1215) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/ECC_env_pkg.sv ... +Optimizing (1216) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1217) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1218) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh [2 ms, 145 lines, SystemVerilog_2012] ... +Loading (1219) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh [3 ms, 243 lines, SystemVerilog_2012] ... +Loading (1220) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh [1 ms, 120 lines, SystemVerilog_2012] ... +Loading (1221) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh [1 ms, 70 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/ECC_env_pkg.sv [0 ms, 56 lines, SystemVerilog_2012] ... +Loading (1222) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/coverage/soc_ifc_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/coverage/soc_ifc_cov_bind.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (1223) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/HMAC_env_pkg.sv ... +Optimizing (1224) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1225) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1226) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh [1 ms, 145 lines, SystemVerilog_2012] ... +Loading (1227) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh [2 ms, 184 lines, SystemVerilog_2012] ... +Loading (1228) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh [1 ms, 120 lines, SystemVerilog_2012] ... +Loading (1229) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh [3 ms, 70 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/HMAC_env_pkg.sv [2 ms, 56 lines, SystemVerilog_2012] ... +Loading (1230) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv [1 ms, 127 lines, SystemVerilog_2012] ... +Loading (1231) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv ... +Optimizing (1232) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1233) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_typedefs.svh [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1234) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh [1 ms, 156 lines, SystemVerilog_2012] ... +Loading (1235) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh [2 ms, 153 lines, SystemVerilog_2012] ... +Loading (1236) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh [2 ms, 85 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv [54 ms, 67 lines, SystemVerilog_2012] ... +Loading (1237) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv ... +Optimizing (1238) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1239) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh [3 ms, 176 lines, SystemVerilog_2012] ... +Loading (1240) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1241) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1242) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh [24 ms, 496 lines, SystemVerilog_2012] ... +Loading (1243) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh [9 ms, 163 lines, SystemVerilog_2012] ... +Loading (1244) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh [3 ms, 134 lines, SystemVerilog_2012] ... +Loading (1245) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh [5 ms, 185 lines, SystemVerilog_2012] ... +Loading (1246) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh [4 ms, 161 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv [0 ms, 84 lines, SystemVerilog_2012] ... +Loading (1247) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/parameters/soc_ifc_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/parameters/soc_ifc_parameters_pkg.sv [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1248) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv ... +Optimizing (1249) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1250) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh [4 ms, 192 lines, SystemVerilog_2012] ... +Loading (1251) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1252) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1253) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh [8 ms, 364 lines, SystemVerilog_2012] ... +Loading (1254) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh [4 ms, 137 lines, SystemVerilog_2012] ... +Loading (1255) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh [5 ms, 164 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv [61 ms, 81 lines, SystemVerilog_2012] ... +Loading (1256) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv ... +Optimizing (1257) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1258) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh [1 ms, 24 lines, SystemVerilog_2012] ... +Loading (1259) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh [2 ms, 105 lines, SystemVerilog_2012] ... +Loading (1260) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh [2 ms, 34 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv [0 ms, 35 lines, SystemVerilog_2012] ... +Loading (1261) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/parameters/mldsa_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/parameters/mldsa_parameters_pkg.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (1262) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv ... +Optimizing (1263) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1264) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh [14 ms, 198 lines, SystemVerilog_2012] ... +Loading (1265) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [2 ms, 58 lines, SystemVerilog_2012] ... +Loading (1266) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1267) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh [7 ms, 126 lines, SystemVerilog_2012] ... +Loading (1268) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh [10 ms, 187 lines, SystemVerilog_2012] ... +Loading (1269) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh [8 ms, 242 lines, SystemVerilog_2012] ... +Loading (1270) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh [23 ms, 382 lines, SystemVerilog_2012] ... +Loading (1271) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh [5 ms, 174 lines, SystemVerilog_2012] ... +Loading (1272) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh [6 ms, 209 lines, SystemVerilog_2012] ... +Loading (1273) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh [9 ms, 271 lines, SystemVerilog_2012] ... +Loading (1274) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh [18 ms, 515 lines, SystemVerilog_2012] ... +Loading (1275) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh [45 ms, 493 lines, SystemVerilog_2012] ... +Loading (1276) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh [7 ms, 166 lines, SystemVerilog_2012] ... +Loading (1277) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh [7 ms, 196 lines, SystemVerilog_2012] ... +Loading (1278) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh [6 ms, 181 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv [123 ms, 64 lines, SystemVerilog_2012] ... +Loading (1279) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/SHA512_env_pkg.sv ... +Optimizing (1280) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1281) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_typedefs.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_typedefs.svh [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1282) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh [1 ms, 135 lines, SystemVerilog_2012] ... +Loading (1283) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_predictor.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_predictor.svh [2 ms, 209 lines, SystemVerilog_2012] ... +Loading (1284) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh [1 ms, 107 lines, SystemVerilog_2012] ... +Loading (1285) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh [0 ms, 32 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/SHA512_env_pkg.sv [3 ms, 56 lines, SystemVerilog_2012] ... +Loading (1286) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv [25 ms, 70 lines, SystemVerilog_2012] ... +Loading (1287) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/parameters/kv_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/parameters/kv_parameters_pkg.sv [1 ms, 63 lines, SystemVerilog_2012] ... +Loading (1288) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv ... +Optimizing (1289) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1290) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh [5 ms, 250 lines, SystemVerilog_2012] ... +Loading (1291) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1292) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1293) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh [3 ms, 123 lines, SystemVerilog_2012] ... +Loading (1294) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh [3 ms, 144 lines, SystemVerilog_2012] ... +Loading (1295) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh [9 ms, 193 lines, SystemVerilog_2012] ... +Loading (1296) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh [2 ms, 132 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv [56 ms, 76 lines, SystemVerilog_2012] ... +Loading (1297) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/parameters/pv_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/parameters/pv_parameters_pkg.sv [1 ms, 55 lines, SystemVerilog_2012] ... +Loading (1298) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv ... +Optimizing (1299) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1300) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh [3 ms, 165 lines, SystemVerilog_2012] ... +Loading (1301) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1302) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1303) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh [6 ms, 102 lines, SystemVerilog_2012] ... +Loading (1304) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh [2 ms, 96 lines, SystemVerilog_2012] ... +Loading (1305) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh [9 ms, 110 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv [0 ms, 75 lines, SystemVerilog_2012] ... +Loading (1306) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh [2 ms, 105 lines, SystemVerilog_2012] ... +Loading (1307) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh [3 ms, 254 lines, SystemVerilog_2012] ... +Loading (1308) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/parameters/ECC_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/parameters/ECC_parameters_pkg.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (1309) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/ECC_sequences_pkg.sv ... +Optimizing (1310) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1311) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh [3 ms, 122 lines, SystemVerilog_2012] ... +Loading (1312) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1313) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1314) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh ... +Optimizing (1315) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh [1 ms, 61 lines, SystemVerilog_2012] ... +Loading (1316) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh ... +Optimizing (1317) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh [1 ms, 61 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/ECC_sequences_pkg.sv [0 ms, 54 lines, SystemVerilog_2012] ... +Loading (1318) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/parameters/SHA512_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/parameters/SHA512_parameters_pkg.sv [1 ms, 39 lines, SystemVerilog_2012] ... +Loading (1319) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/SHA512_sequences_pkg.sv ... +Optimizing (1320) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1321) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh [2 ms, 105 lines, SystemVerilog_2012] ... +Loading (1322) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1323) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1324) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh ... +Optimizing (1325) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh [2 ms, 71 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/SHA512_sequences_pkg.sv [6 ms, 49 lines, SystemVerilog_2012] ... +Loading (1326) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/parameters/HMAC_parameters_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/parameters/HMAC_parameters_pkg.sv [0 ms, 39 lines, SystemVerilog_2012] ... +Loading (1327) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/HMAC_sequences_pkg.sv ... +Optimizing (1328) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1329) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh [2 ms, 122 lines, SystemVerilog_2012] ... +Loading (1330) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [3 ms, 58 lines, SystemVerilog_2012] ... +Loading (1331) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1332) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh ... +Optimizing (1333) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh [1 ms, 57 lines, SystemVerilog_2012] ... +Loading (1334) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh ... +Optimizing (1335) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh [2 ms, 57 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/HMAC_sequences_pkg.sv [64 ms, 54 lines, SystemVerilog_2012] ... +Loading (1336) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_pkg.sv [1 ms, 162 lines, SystemVerilog_2012] ... +Loading (1337) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_cbc.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_cbc.sv [2 ms, 300 lines, SystemVerilog_2012] ... +Loading (1338) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_pkg.sv [2 ms, 353 lines, SystemVerilog_2012] ... +Loading (1339) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_param_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_param_pkg.sv [0 ms, 40 lines, SystemVerilog_2012] ... +Loading (1340) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac.sv [10 ms, 497 lines, SystemVerilog_2012] ... +Loading (1341) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_if.sv [1 ms, 144 lines, SystemVerilog_2012] ... +Loading (1342) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv [88 ms, 80 lines, SystemVerilog_2012] ... +Loading (1343) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv [15 ms, 668 lines, SystemVerilog_2012] ... +Loading (1344) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv [2 ms, 106 lines, SystemVerilog_2012] ... +Loading (1345) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv ... +Optimizing (1346) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1347) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1348) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1349) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1350) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_rand_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_rand_test.svh [0 ms, 68 lines, SystemVerilog_2012] ... +Loading (1351) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_cmdline_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_cmdline_test.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (1352) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_trng_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_trng_test.svh [1 ms, 69 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv [4 ms, 85 lines, SystemVerilog_2012] ... +Loading (1353) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv ... +Optimizing (1354) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1355) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1356) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1357) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1358) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rand_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rand_test.svh [1 ms, 73 lines, SystemVerilog_2012] ... +Loading (1359) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_test.svh [0 ms, 73 lines, SystemVerilog_2012] ... +Loading (1360) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_independent_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_independent_test.svh [1 ms, 73 lines, SystemVerilog_2012] ... +Loading (1361) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_cmdline_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_cmdline_test.svh [1 ms, 68 lines, SystemVerilog_2012] ... +Loading (1362) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rom_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rom_test.svh [1 ms, 83 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv [0 ms, 85 lines, SystemVerilog_2012] ... +Loading (1363) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv ... +Optimizing (1364) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1365) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1366) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1367) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (1368) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_test.svh [1 ms, 59 lines, SystemVerilog_2012] ... +Loading (1369) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_wr_rd_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_wr_rd_test.svh [1 ms, 61 lines, SystemVerilog_2012] ... +Loading (1370) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_debug_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_debug_test.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (1371) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_lock_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/kv_rand_lock_test.svh [1 ms, 61 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv [66 ms, 80 lines, SystemVerilog_2012] ... +Loading (1372) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv ... +Optimizing (1373) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1374) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1375) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1376) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1377) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_test.svh [1 ms, 59 lines, SystemVerilog_2012] ... +Loading (1378) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_wr_rd_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_wr_rd_test.svh [0 ms, 61 lines, SystemVerilog_2012] ... +Loading (1379) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_lock_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/pv_rand_lock_test.svh [1 ms, 61 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv [0 ms, 79 lines, SystemVerilog_2012] ... +Loading (1380) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv ... +Optimizing (1381) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1382) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1383) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1384) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (1385) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1386) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_zeroize_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_zeroize_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1387) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_early_run_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_early_run_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1388) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_and_sign_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_and_sign_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1389) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_sign_gen_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_sign_gen_test.svh [2 ms, 52 lines, SystemVerilog_2012] ... +Loading (1390) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_reset_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_reset_test.svh [1 ms, 53 lines, SystemVerilog_2012] ... +Loading (1391) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_KATs_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_KATs_test.svh [1 ms, 53 lines, SystemVerilog_2012] ... +Loading (1392) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_signing_KATs_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_signing_KATs_test.svh [0 ms, 53 lines, SystemVerilog_2012] ... +Loading (1393) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_verif_KATs_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_verif_KATs_test.svh [1 ms, 53 lines, SystemVerilog_2012] ... +Loading (1394) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1395) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_fail_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_fail_test.svh [1 ms, 62 lines, SystemVerilog_2012] ... +Loading (1396) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_all_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_all_test.svh [0 ms, 53 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv [86 ms, 68 lines, SystemVerilog_2012] ... +Loading (1397) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/SHA512_tests_pkg.sv ... +Optimizing (1398) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1399) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1400) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1401) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (1402) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/src/SHA512_random_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/src/SHA512_random_test.svh [1 ms, 42 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/SHA512_tests_pkg.sv [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1403) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/ECC_tests_pkg.sv ... +Optimizing (1404) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1405) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1406) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1407) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1408) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/ECC_normal_test.svh ... +Optimizing (1409) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/ECC_normal_test.svh [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1410) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/ECC_otf_reset_test.svh ... +Optimizing (1411) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/ECC_otf_reset_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/ECC_tests_pkg.sv [0 ms, 57 lines, SystemVerilog_2012] ... +Loading (1412) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/HMAC_tests_pkg.sv ... +Optimizing (1413) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Loading (1414) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1415) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1416) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1417) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/HMAC_random_test.svh ... +Optimizing (1418) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/HMAC_random_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1419) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/HMAC_otf_reset_test.svh ... +Optimizing (1420) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/HMAC_otf_reset_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/HMAC_tests_pkg.sv [50 ms, 57 lines, SystemVerilog_2012] ... +Loading (1421) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb_pkg.sv [2 ms, 85 lines, SystemVerilog_2012] ... +Loading (1422) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv ... +Loading (1423) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/five_squeeze_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/five_squeeze_test.svh [2 ms, 127 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv [8 ms, 596 lines, SystemVerilog_2012] ... +Loading (1424) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_params_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_params_pkg.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (1425) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_pkg.sv [2 ms, 358 lines, SystemVerilog_2012] ... +Loading (1426) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512.sv [36 ms, 505 lines, SystemVerilog_2012] ... +Loading (1427) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_fsm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_fsm.sv [4 ms, 263 lines, SystemVerilog_2012] ... +Loading (1428) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_cmd_stage.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_cmd_stage.sv [4 ms, 429 lines, SystemVerilog_2012] ... +Loading (1429) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_gen.sv [28 ms, 604 lines, SystemVerilog_2012] ... +Loading (1430) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_main_sm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_main_sm.sv [2 ms, 188 lines, SystemVerilog_2012] ... +Loading (1431) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv [44 ms, 848 lines, SystemVerilog_2012] ... +Loading (1432) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_upd.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_upd.sv [7 ms, 628 lines, SystemVerilog_2012] ... +Loading (1433) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh [3 ms, 77 lines, SystemVerilog_2012] ... +Loading (1434) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh [1 ms, 100 lines, SystemVerilog_2012] ... +Loading (1435) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv [5 ms, 254 lines, SystemVerilog_2012] ... +Loading (1436) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv [2 ms, 42 lines, SystemVerilog_2012] ... +Loading (1437) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv [3 ms, 59 lines, SystemVerilog_2012] ... +Loading (1438) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv [2 ms, 104 lines, SystemVerilog_2012] ... +Loading (1439) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv [2 ms, 154 lines, SystemVerilog_2012] ... +Loading (1440) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_pkg.sv [1 ms, 158 lines, SystemVerilog_2012] ... +Loading (1441) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_wrapper.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_wrapper.sv [3 ms, 324 lines, SystemVerilog_2012] ... +Loading (1442) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_if.sv [1 ms, 104 lines, SystemVerilog_2012] ... +Loading (1443) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_def.sv ... +Loading (1444) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pdef.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pdef.vh [4 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_def.sv [22 ms, 459 lines, SystemVerilog_2012] ... +Loading (1445) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv ... +Loading (1446) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv [6 ms, 395 lines, SystemVerilog_2012] ... +Loading (1447) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_ack_sm_pkg.sv [0 ms, 36 lines, SystemVerilog_2012] ... +Loading (1448) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_ack_sm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_ack_sm.sv [1 ms, 65 lines, SystemVerilog_2012] ... +Loading (1449) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_main_sm_pkg.sv [0 ms, 59 lines, SystemVerilog_2012] ... +Loading (1450) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_main_sm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_main_sm.sv [5 ms, 288 lines, SystemVerilog_2012] ... +Loading (1451) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_fsm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_fsm.sv [8 ms, 597 lines, SystemVerilog_2012] ... +Loading (1452) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_shift_register.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_shift_register.sv [2 ms, 114 lines, SystemVerilog_2012] ... +Loading (1453) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_defines.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_defines.sv [4 ms, 540 lines, SystemVerilog_2012] ... +Loading (1454) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg.sv [40 ms, 1458 lines, SystemVerilog_2012] ... +Loading (1455) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg.sv [90 ms, 3085 lines, SystemVerilog_2012] ... +Loading (1456) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_intr_hw.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_intr_hw.sv [3 ms, 98 lines, SystemVerilog_2012] ... +Loading (1457) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg.sv [8 ms, 512 lines, SystemVerilog_2012] ... +Loading (1458) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg.sv [44 ms, 1492 lines, SystemVerilog_2012] ... +Loading (1459) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg.sv [78 ms, 2024 lines, SystemVerilog_2012] ... +Loading (1460) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1461) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh [2 ms, 58 lines, SystemVerilog_2012] ... +Loading (1462) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1463) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1464) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1465) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg.sv [57 ms, 2290 lines, SystemVerilog_2012] ... +Loading (1466) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 31 lines, SystemVerilog_2012] ... +Loading (1467) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1468) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/example_derived_test.svh [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (1469) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1470) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh [1 ms, 79 lines, SystemVerilog_2012] ... +Loading (1471) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh [0 ms, 45 lines, SystemVerilog_2012] ... +Loading (1472) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (1473) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/example_derived_test.svh [1 ms, 58 lines, SystemVerilog_2012] ... +Loading (1474) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/register_test.svh [0 ms, 55 lines, SystemVerilog_2012] ... +Loading (1475) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh [1 ms, 107 lines, SystemVerilog_2012] ... +Loading (1476) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg.sv [11 ms, 476 lines, SystemVerilog_2012] ... +Loading (1477) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 46 lines, SystemVerilog_2012] ... +Loading (1478) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh [1 ms, 98 lines, SystemVerilog_2012] ... +Loading (1479) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/example_derived_test.svh [0 ms, 58 lines, SystemVerilog_2012] ... +Loading (1480) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh [1 ms, 66 lines, SystemVerilog_2012] ... +Loading (1481) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh [1 ms, 116 lines, SystemVerilog_2012] ... +Loading (1482) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg.sv [5 ms, 317 lines, SystemVerilog_2012] ... +Loading (1483) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (1484) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh [2 ms, 91 lines, SystemVerilog_2012] ... +Loading (1485) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/example_derived_test.svh [0 ms, 58 lines, SystemVerilog_2012] ... +Loading (1486) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/register_test.svh [1 ms, 55 lines, SystemVerilog_2012] ... +Loading (1487) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh [1 ms, 101 lines, SystemVerilog_2012] ... +Loading (1488) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg.sv [24 ms, 1597 lines, SystemVerilog_2012] ... +Loading (1489) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg.sv [82 ms, 2210 lines, SystemVerilog_2012] ... +Loading (1490) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr.sv [15 ms, 661 lines, SystemVerilog_2012] ... +Loading (1491) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr.sv [91 ms, 1711 lines, SystemVerilog_2012] ... +Loading (1492) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg.sv [391 ms, 7212 lines, SystemVerilog_2012] ... +Loading (1493) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh [2 ms, 45 lines, SystemVerilog_2012] ... +Loading (1494) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh [1 ms, 93 lines, SystemVerilog_2012] ... +Loading (1495) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/example_derived_test.svh [0 ms, 58 lines, SystemVerilog_2012] ... +Loading (1496) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh [1 ms, 66 lines, SystemVerilog_2012] ... +Loading (1497) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh [1 ms, 107 lines, SystemVerilog_2012] ... +Loading (1498) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh [1 ms, 34 lines, SystemVerilog_2012] ... +Loading (1499) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh [2 ms, 24 lines, SystemVerilog_2012] ... +Loading (1500) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh ... +Optimizing (1501) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (1502) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg.sv [67 ms, 1287 lines, SystemVerilog_2012] ... +Loading (1503) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (1504) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh [1 ms, 52 lines, SystemVerilog_2012] ... +Loading (1505) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/register_test.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/register_test.svh [0 ms, 41 lines, SystemVerilog_2012] ... +Loading (1506) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/env/ntt_env.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/env/ntt_env.sv [1 ms, 54 lines, SystemVerilog_2012] ... +Loading (1507) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent.sv [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (1508) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent_config.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_agent_config.sv [1 ms, 32 lines, SystemVerilog_2012] ... +Loading (1509) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_driver.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_driver.sv [2 ms, 56 lines, SystemVerilog_2012] ... +Loading (1510) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_agent.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_agent.sv [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1511) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_driver.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_driver.sv [0 ms, 81 lines, SystemVerilog_2012] ... +Loading (1512) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_mon.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_mon.sv [2 ms, 163 lines, SystemVerilog_2012] ... +Loading (1513) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/mem_seq_lib.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/mem_seq_lib.sv [3 ms, 44 lines, SystemVerilog_2012] ... +Loading (1514) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_base_seq.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_base_seq.sv [1 ms, 24 lines, SystemVerilog_2012] ... +Loading (1515) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_virtual_seq.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_virtual_seq.sv [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (1516) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv [6 ms, 289 lines, SystemVerilog_2012] ... +Loading (1517) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv [5 ms, 289 lines, SystemVerilog_2012] ... +Loading (1518) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv [40 ms, 289 lines, SystemVerilog_2012] ... +Loading (1519) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv [5 ms, 289 lines, SystemVerilog_2012] ... +Loading (1520) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv [5 ms, 289 lines, SystemVerilog_2012] ... +Loading (1521) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv [4 ms, 289 lines, SystemVerilog_2012] ... +Loading (1522) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv [6 ms, 507 lines, SystemVerilog_2012] ... +Loading (1523) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv [24 ms, 1669 lines, SystemVerilog_2012] ... +Loading (1524) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv [49 ms, 2797 lines, SystemVerilog_2012] ... +Loading (1525) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv [21 ms, 281 lines, SystemVerilog_2012] ... +Loading (1526) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv [2 ms, 190 lines, SystemVerilog_2012] ... +Loading (1527) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv [1 ms, 145 lines, SystemVerilog_2012] ... +Loading (1528) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv [3 ms, 285 lines, SystemVerilog_2012] ... +Loading (1529) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv [3 ms, 205 lines, SystemVerilog_2012] ... +Loading (1530) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv [28 ms, 1429 lines, SystemVerilog_2012] ... +Loading (1531) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv [2 ms, 147 lines, SystemVerilog_2012] ... +Loading (1532) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_pkg.sv [1 ms, 226 lines, SystemVerilog_2012] ... +Loading (1533) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv [7 ms, 438 lines, SystemVerilog_2012] ... +Loading (1534) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv [5 ms, 446 lines, SystemVerilog_2012] ... +Loading (1535) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_device_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_device_pkg.sv [0 ms, 54 lines, SystemVerilog_2012] ... +Loading (1536) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv [10 ms, 612 lines, SystemVerilog_2012] ... +Loading (1537) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv [8 ms, 582 lines, SystemVerilog_2012] ... +Loading (1538) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_defines_pkg.sv [0 ms, 41 lines, SystemVerilog_2012] ... +Loading (1539) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_top.sv [2 ms, 161 lines, SystemVerilog_2012] ... +Loading (1540) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv [1 ms, 142 lines, SystemVerilog_2012] ... +Loading (1541) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_defines_pkg.sv [0 ms, 38 lines, SystemVerilog_2012] ... +Loading (1542) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv [4 ms, 304 lines, SystemVerilog_2012] ... +Loading (1543) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv [3 ms, 413 lines, SystemVerilog_2012] ... +Loading (1544) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv [10 ms, 441 lines, SystemVerilog_2012] ... +Loading (1545) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv [5 ms, 450 lines, SystemVerilog_2012] ... +Loading (1546) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv ... +Loading (1547) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv [11 ms, 548 lines, SystemVerilog_2012] ... +Loading (1548) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright.sv [1 ms, 71 lines, SystemVerilog_2012] ... +Loading (1549) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv [12 ms, 487 lines, SystemVerilog_2012] ... +Loading (1550) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv [5 ms, 451 lines, SystemVerilog_2012] ... +Loading (1551) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_top.sv [1 ms, 199 lines, SystemVerilog_2012] ... +Loading (1552) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv [4 ms, 188 lines, SystemVerilog_2012] ... +Loading (1553) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_uop_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_uop_pkg.sv [6 ms, 185 lines, SystemVerilog_2012] ... +Loading (1554) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv [8 ms, 356 lines, SystemVerilog_2012] ... +Loading (1555) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv [8 ms, 168 lines, SystemVerilog_2012] ... +Loading (1556) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (1557) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_if.sv [1 ms, 129 lines, SystemVerilog_2012] ... +Loading (1558) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_if.sv [1 ms, 95 lines, SystemVerilog_2012] ... +Loading (1559) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hvl_top.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (1560) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_if.sv [1 ms, 128 lines, SystemVerilog_2012] ... +Loading (1561) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_if.sv [0 ms, 86 lines, SystemVerilog_2012] ... +Loading (1562) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hvl_top.sv [0 ms, 48 lines, SystemVerilog_2012] ... +Loading (1563) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hvl_top.sv [1 ms, 48 lines, SystemVerilog_2012] ... +Loading (1564) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_if.sv [0 ms, 87 lines, SystemVerilog_2012] ... +Loading (1565) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_if.sv [1 ms, 103 lines, SystemVerilog_2012] ... +Loading (1566) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_if.sv [0 ms, 87 lines, SystemVerilog_2012] ... +Loading (1567) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv [2 ms, 150 lines, SystemVerilog_2012] ... +Loading (1568) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv ... +Loading (1569) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh [0 ms, 12 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (1570) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hdl_qvip_apb5_slave.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hdl_qvip_apb5_slave.sv [2 ms, 165 lines, SystemVerilog_2012] ... +Loading (1571) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hvl_qvip_apb5_slave.sv ... +Loading (1572) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh [0 ms, 12 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hvl_qvip_apb5_slave.sv [1 ms, 18 lines, SystemVerilog_2012] ... +Loading (1573) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hvl_top.sv [0 ms, 48 lines, SystemVerilog_2012] ... +Loading (1574) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_if.sv [2 ms, 87 lines, SystemVerilog_2012] ... +Loading (1575) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_if.sv [1 ms, 94 lines, SystemVerilog_2012] ... +Loading (1576) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_if.sv [1 ms, 87 lines, SystemVerilog_2012] ... +Loading (1577) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_params_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_params_pkg.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (1578) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_pkg.sv [1 ms, 186 lines, SystemVerilog_2012] ... +Loading (1579) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256.sv [5 ms, 436 lines, SystemVerilog_2012] ... +Loading (1580) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hvl_top.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (1581) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_if.sv [1 ms, 120 lines, SystemVerilog_2012] ... +Loading (1582) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_if.sv [1 ms, 86 lines, SystemVerilog_2012] ... +Loading (1583) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hvl_top.sv [0 ms, 48 lines, SystemVerilog_2012] ... +Loading (1584) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_if.sv [0 ms, 89 lines, SystemVerilog_2012] ... +Loading (1585) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv [1 ms, 84 lines, SystemVerilog_2012] ... +Loading (1586) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_if.sv [0 ms, 119 lines, SystemVerilog_2012] ... +Loading (1587) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hdl_qvip_ahb_lite_slave.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hdl_qvip_ahb_lite_slave.sv [2 ms, 88 lines, SystemVerilog_2012] ... +Loading (1588) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hvl_qvip_ahb_lite_slave.sv ... +Loading (1589) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh [0 ms, 12 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hvl_qvip_ahb_lite_slave.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (1590) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv [2 ms, 150 lines, SystemVerilog_2012] ... +Loading (1591) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv ... +Loading (1592) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh [0 ms, 12 lines, SystemVerilog_2012] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (1593) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_ctrl.sv [2 ms, 182 lines, SystemVerilog_2012] ... +Loading (1594) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv [4 ms, 343 lines, SystemVerilog_2012] ... +Loading (1595) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint_defines_pkg.sv [0 ms, 31 lines, SystemVerilog_2012] ... +Loading (1596) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv [5 ms, 403 lines, SystemVerilog_2012] ... +Loading (1597) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv [2 ms, 207 lines, SystemVerilog_2012] ... +Loading (1598) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hvl_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hvl_top.sv [0 ms, 34 lines, SystemVerilog_2012] ... +Loading (1599) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_defines_pkg.sv [1 ms, 35 lines, SystemVerilog_2012] ... +Loading (1600) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check.sv [0 ms, 54 lines, SystemVerilog_2012] ... +Loading (1601) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv [2 ms, 160 lines, SystemVerilog_2012] ... +Loading (1602) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_top.sv [1 ms, 136 lines, SystemVerilog_2012] ... +Loading (1603) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv [8 ms, 483 lines, SystemVerilog_2012] ... +Loading (1604) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_hybrid_butterfly_2x2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_hybrid_butterfly_2x2.sv [6 ms, 468 lines, SystemVerilog_2012] ... +Loading (1605) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv [3 ms, 190 lines, SystemVerilog_2012] ... +Loading (1606) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv [2 ms, 163 lines, SystemVerilog_2012] ... +Loading (1607) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_butterfly1x2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_butterfly1x2.sv [2 ms, 157 lines, SystemVerilog_2012] ... +Loading (1608) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv [2 ms, 180 lines, SystemVerilog_2012] ... +Loading (1609) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_mult_redux46.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_mult_redux46.sv [4 ms, 482 lines, SystemVerilog_2012] ... +Loading (1610) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_pwm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_pwm.sv [2 ms, 115 lines, SystemVerilog_2012] ... +Loading (1611) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_shuffle_buffer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_shuffle_buffer.sv [2 ms, 120 lines, SystemVerilog_2012] ... +Loading (1612) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv [7 ms, 560 lines, SystemVerilog_2012] ... +Loading (1613) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv [4 ms, 619 lines, SystemVerilog_2012] ... +Loading (1614) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv [6 ms, 684 lines, SystemVerilog_2012] ... +Loading (1615) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv [2 ms, 203 lines, SystemVerilog_2012] ... +Loading (1616) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/ntt_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/ntt_if.sv [1 ms, 78 lines, SystemVerilog_2012] ... +Loading (1617) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_defines_pkg.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (1618) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_ctrl.sv [4 ms, 240 lines, SystemVerilog_2012] ... +Loading (1619) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_pkg.sv [0 ms, 29 lines, SystemVerilog_2012] ... +Loading (1620) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_ctrl.sv [3 ms, 246 lines, SystemVerilog_2012] ... +Loading (1621) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_defines_pkg.sv [0 ms, 38 lines, SystemVerilog_2012] ... +Loading (1622) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_top.sv [3 ms, 242 lines, SystemVerilog_2012] ... +Loading (1623) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv [5 ms, 303 lines, SystemVerilog_2012] ... +Loading (1624) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_top.sv [3 ms, 242 lines, SystemVerilog_2012] ... +Loading (1625) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_defines_pkg.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (1626) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv [7 ms, 306 lines, SystemVerilog_2012] ... +Loading (1627) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_defines_pkg.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (1628) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv [4 ms, 396 lines, SystemVerilog_2012] ... +Loading (1629) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv [4 ms, 278 lines, SystemVerilog_2012] ... +Loading (1630) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv [6 ms, 466 lines, SystemVerilog_2012] ... +Loading (1631) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv [6 ms, 401 lines, SystemVerilog_2012] ... +Loading (1632) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv [6 ms, 383 lines, SystemVerilog_2012] ... +Loading (1633) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv ... +Loading (1634) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv [18 ms, 943 lines, SystemVerilog_2012] ... +Loading (1635) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_defines_pkg.sv [0 ms, 26 lines, SystemVerilog_2012] ... +Loading (1636) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_slv_sif.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_slv_sif.sv [3 ms, 167 lines, SystemVerilog_2012] ... +Loading (1637) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv ... +Loading (1638) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Loading (1639) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh [34 ms, 466 lines, SystemVerilog_2012] ... +Loading (1640) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv [109 ms, 3171 lines, SystemVerilog_2012] ... +Loading (1641) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv ... +Loading (1642) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv [40 ms, 645 lines, SystemVerilog_2012] ... +Loading (1643) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv ... +Loading (1644) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv [42 ms, 315 lines, SystemVerilog_2012] ... +Loading (1645) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv ... +Loading (1646) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv [23 ms, 1464 lines, SystemVerilog_2012] ... +Loading (1647) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv ... +Loading (1648) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv [8 ms, 323 lines, SystemVerilog_2012] ... +Loading (1649) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv ... +Loading (1650) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv [35 ms, 504 lines, SystemVerilog_2012] ... +Loading (1651) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_defines_pkg.sv [0 ms, 26 lines, SystemVerilog_2012] ... +Loading (1652) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_slv_sif.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ahb_slv_sif.sv [3 ms, 167 lines, SystemVerilog_2012] ... +Loading (1653) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub.sv [1 ms, 215 lines, SystemVerilog_2012] ... +Loading (1654) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc_pkg.sv [33 ms, 534 lines, SystemVerilog_2012] ... +Loading (1655) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv [1 ms, 119 lines, SystemVerilog_2012] ... +Loading (1656) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv [7 ms, 398 lines, SystemVerilog_2012] ... +Loading (1657) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv [2 ms, 129 lines, SystemVerilog_2012] ... +Loading (1658) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv [5 ms, 349 lines, SystemVerilog_2012] ... +Loading (1659) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv [2 ms, 274 lines, SystemVerilog_2012] ... +Loading (1660) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_if.sv [3 ms, 137 lines, SystemVerilog_2012] ... +Loading (1661) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_if.sv [1 ms, 148 lines, SystemVerilog_2012] ... +Loading (1662) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_props.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_props.sv [2 ms, 124 lines, SystemVerilog_2012] ... +Loading (1663) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_if.sv [0 ms, 96 lines, SystemVerilog_2012] ... +Loading (1664) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_props.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_props.sv [0 ms, 55 lines, SystemVerilog_2012] ... +Loading (1665) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv ... +Loading (1666) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv [97 ms, 789 lines, SystemVerilog_2012] ... +Loading (1667) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv ... +Loading (1668) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv [6 ms, 476 lines, SystemVerilog_2012] ... +Loading (1669) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv ... +Loading (1670) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv [77 ms, 1833 lines, SystemVerilog_2012] ... +Loading (1671) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_gpr_ctl.sv ... +Loading (1672) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_gpr_ctl.sv [61 ms, 99 lines, SystemVerilog_2012] ... +Loading (1673) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv ... +Loading (1674) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv [4 ms, 181 lines, SystemVerilog_2012] ... +Loading (1675) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv ... +Loading (1676) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Loading (1677) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/pic_map_auto.h ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/pic_map_auto.h [4 ms, 118 lines, SystemVerilog_2009] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv [74 ms, 601 lines, SystemVerilog_2012] ... +Loading (1678) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv ... +Loading (1679) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv [102 ms, 902 lines, SystemVerilog_2012] ... +Loading (1680) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv ... +Loading (1681) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv [107 ms, 1717 lines, SystemVerilog_2012] ... +Loading (1682) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv ... +Loading (1683) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv [53 ms, 470 lines, SystemVerilog_2012] ... +Loading (1684) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv ... +Loading (1685) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv [39 ms, 965 lines, SystemVerilog_2012] ... +Loading (1686) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv ... +Loading (1687) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv [9 ms, 394 lines, SystemVerilog_2012] ... +Loading (1688) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_clkdomain.sv ... +Loading (1689) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_clkdomain.sv [39 ms, 149 lines, SystemVerilog_2012] ... +Loading (1690) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv ... +Loading (1691) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv [18 ms, 429 lines, SystemVerilog_2012] ... +Loading (1692) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv ... +Loading (1693) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv [15 ms, 355 lines, SystemVerilog_2012] ... +Loading (1694) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_if.sv [4 ms, 369 lines, SystemVerilog_2012] ... +Loading (1695) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm_n.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm_n.sv [3 ms, 401 lines, SystemVerilog_2012] ... +Loading (1696) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm_p.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm_p.sv [3 ms, 391 lines, SystemVerilog_2012] ... +Loading (1697) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr.sv [2 ms, 218 lines, SystemVerilog_2012] ... +Loading (1698) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm_n.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm_n.sv [1 ms, 147 lines, SystemVerilog_2012] ... +Loading (1699) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm_p.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_ctr_fsm_p.sv [1 ms, 137 lines, SystemVerilog_2012] ... +Loading (1700) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_mix_columns.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_mix_columns.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (1701) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_mix_single_column.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_mix_single_column.sv [1 ms, 71 lines, SystemVerilog_2012] ... +Loading (1702) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reduced_round.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reduced_round.sv [1 ms, 81 lines, SystemVerilog_2012] ... +Loading (1703) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_lut.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_lut.sv [3 ms, 121 lines, SystemVerilog_2012] ... +Loading (1704) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_shift_rows.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_shift_rows.sv [0 ms, 32 lines, SystemVerilog_2012] ... +Loading (1705) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sub_bytes.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sub_bytes.sv [2 ms, 102 lines, SystemVerilog_2012] ... +Loading (1706) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_req_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_req_if.sv [0 ms, 58 lines, SystemVerilog_2012] ... +Loading (1707) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg.sv [3 ms, 76 lines, SystemVerilog_2012] ... +Loading (1708) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_block_encrypt.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_block_encrypt.sv [3 ms, 192 lines, SystemVerilog_2012] ... +Loading (1709) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_cmd.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_cmd.sv [3 ms, 326 lines, SystemVerilog_2012] ... +Loading (1710) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc.sv [2 ms, 162 lines, SystemVerilog_2012] ... +Loading (1711) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process_pkg.sv [0 ms, 38 lines, SystemVerilog_2012] ... +Loading (1712) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_iv/fv_doe_iv_process.sv [3 ms, 231 lines, SystemVerilog_2012] ... +Loading (1713) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv [1 ms, 90 lines, SystemVerilog_2012] ... +Loading (1714) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv [0 ms, 146 lines, SystemVerilog_2012] ... +Loading (1715) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv [19 ms, 1102 lines, SystemVerilog_2012] ... +Loading (1716) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_sequencer.sv [197 ms, 3066 lines, SystemVerilog_2012] ... +Loading (1717) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_arith_unit.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_arith_unit.sv [2 ms, 225 lines, SystemVerilog_2012] ... +Loading (1718) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_ctrl.sv [4 ms, 405 lines, SystemVerilog_2012] ... +Loading (1719) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv [142 ms, 2570 lines, SystemVerilog_2012] ... +Loading (1720) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_enable_delay.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_enable_delay.sv [2 ms, 110 lines, SystemVerilog_2012] ... +Loading (1721) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_pkg.sv [1 ms, 48 lines, SystemVerilog_2012] ... +Loading (1722) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv [3 ms, 254 lines, SystemVerilog_2012] ... +Loading (1723) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg_pkg.sv [1 ms, 73 lines, SystemVerilog_2012] ... +Loading (1724) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv [7 ms, 587 lines, SystemVerilog_2012] ... +Loading (1725) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_fsm.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_fsm.sv [2 ms, 194 lines, SystemVerilog_2012] ... +Loading (1726) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_read_client.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_read_client.sv [1 ms, 99 lines, SystemVerilog_2012] ... +Loading (1727) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_write_client.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_write_client.sv [1 ms, 103 lines, SystemVerilog_2012] ... +Loading (1728) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_ahb_srom.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_ahb_srom.sv [1 ms, 90 lines, SystemVerilog_2012] ... +Loading (1729) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv ... +Loading (1730) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv [68 ms, 165 lines, SystemVerilog_2012] ... +Loading (1731) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_trigger.sv ... +Loading (1732) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_trigger.sv [4 ms, 50 lines, SystemVerilog_2012] ... +Loading (1733) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv ... +Loading (1734) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv [4 ms, 163 lines, SystemVerilog_2012] ... +Loading (1735) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv ... +Loading (1736) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv [16 ms, 374 lines, SystemVerilog_2012] ... +Loading (1737) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv ... +Loading (1738) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv [11 ms, 581 lines, SystemVerilog_2012] ... +Loading (1739) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv ... +Loading (1740) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv [53 ms, 1821 lines, SystemVerilog_2012] ... +Loading (1741) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv ... +Loading (1742) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv [14 ms, 742 lines, SystemVerilog_2012] ... +Loading (1743) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv ... +Loading (1744) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv [19 ms, 705 lines, SystemVerilog_2012] ... +Loading (1745) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_compress_ctl.sv ... +Loading (1746) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_compress_ctl.sv [10 ms, 387 lines, SystemVerilog_2012] ... +Loading (1747) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv ... +Loading (1748) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv [7 ms, 227 lines, SystemVerilog_2012] ... +Loading (1749) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv ... +Loading (1750) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv [5 ms, 250 lines, SystemVerilog_2012] ... +Loading (1751) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv ... +Loading (1752) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Loading (1753) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Loading (1754) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Loading (1755) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv [60 ms, 88 lines, SystemVerilog_2012] ... +Loading (1756) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv ... +Loading (1757) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv [4 ms, 92 lines, SystemVerilog_2012] ... +Loading (1758) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv ... +Loading (1759) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv [9 ms, 243 lines, SystemVerilog_2012] ... +Loading (1760) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv ... +Loading (1761) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv [5 ms, 124 lines, SystemVerilog_2012] ... +Loading (1762) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv ... +Loading (1763) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv [6 ms, 246 lines, SystemVerilog_2012] ... +Loading (1764) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv ... +Loading (1765) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv [70 ms, 348 lines, SystemVerilog_2012] ... +Loading (1766) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_trigger.sv ... +Loading (1767) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [19 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_trigger.sv [3 ms, 70 lines, SystemVerilog_2012] ... +Loading (1768) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_package.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_package.sv [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (1769) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv [20 ms, 1053 lines, SystemVerilog_2012] ... +Loading (1770) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv [1 ms, 97 lines, SystemVerilog_2012] ... +Loading (1771) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_pkg.sv [3 ms, 77 lines, SystemVerilog_2012] ... +Loading (1772) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core.sv [46 ms, 598 lines, SystemVerilog_2012] ... +Loading (1773) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512_pkg.sv [6 ms, 151 lines, SystemVerilog_2012] ... +Loading (1774) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv [44 ms, 642 lines, SystemVerilog_2012] ... +Loading (1775) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv [32 ms, 411 lines, SystemVerilog_2012] ... +Loading (1776) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv [75 ms, 1092 lines, SystemVerilog_2012] ... +Loading (1777) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_defines_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_defines_pkg.sv [3 ms, 108 lines, SystemVerilog_2012] ... +Loading (1778) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_core.sv [12 ms, 738 lines, SystemVerilog_2012] ... +Loading (1779) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_w_mem.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/rtl/sha512_masked_w_mem.sv [4 ms, 291 lines, SystemVerilog_2012] ... +Loading (1780) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_arb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_arb.sv [6 ms, 261 lines, SystemVerilog_2012] ... +Loading (1781) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_core.sv [11 ms, 499 lines, SystemVerilog_2012] ... +Loading (1782) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/test_packages.svh [0 ms, 12 lines, SystemVerilog_2012] ... +Loading (1783) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_pkg.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (1784) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg.sv [1 ms, 67 lines, SystemVerilog_2012] ... +Loading (1785) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv [1 ms, 82 lines, SystemVerilog_2012] ... +Loading (1786) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_mod_2gamma2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_mod_2gamma2.sv [2 ms, 107 lines, SystemVerilog_2012] ... +Loading (1787) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_r1_lut.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_r1_lut.sv [5 ms, 63 lines, SystemVerilog_2012] ... +Loading (1788) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_usehint.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_usehint.sv [2 ms, 125 lines, SystemVerilog_2012] ... +Loading (1789) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_w1_encode.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_w1_encode.sv [0 ms, 94 lines, SystemVerilog_2012] ... +Loading (1790) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask.sv [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (1791) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask_ctrl.sv [0 ms, 57 lines, SystemVerilog_2012] ... +Loading (1792) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/hintgen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/hintgen.sv [1 ms, 74 lines, SystemVerilog_2012] ... +Loading (1793) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv [2 ms, 124 lines, SystemVerilog_2012] ... +Loading (1794) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv [6 ms, 307 lines, SystemVerilog_2012] ... +Loading (1795) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly2x2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly2x2.sv [2 ms, 233 lines, SystemVerilog_2012] ... +Loading (1796) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv [31 ms, 1019 lines, SystemVerilog_2012] ... +Loading (1797) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_twiddle_lookup.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_twiddle_lookup.sv [11 ms, 740 lines, SystemVerilog_2012] ... +Loading (1798) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv [6 ms, 618 lines, SystemVerilog_2012] ... +Loading (1799) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/mem_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/mem_if.sv [2 ms, 66 lines, SystemVerilog_2012] ... +Loading (1800) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv [3 ms, 235 lines, SystemVerilog_2012] ... +Loading (1801) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/rtl/pkdecode.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/rtl/pkdecode.sv [3 ms, 232 lines, SystemVerilog_2012] ... +Loading (1802) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv [11 ms, 301 lines, SystemVerilog_2012] ... +Loading (1803) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_top.sv [3 ms, 208 lines, SystemVerilog_2012] ... +Loading (1804) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv [4 ms, 318 lines, SystemVerilog_2012] ... +Loading (1805) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded_ctrl.sv [1 ms, 103 lines, SystemVerilog_2012] ... +Loading (1806) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler_ctrl.sv [1 ms, 99 lines, SystemVerilog_2012] ... +Loading (1807) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_shuffler.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_shuffler.sv [9 ms, 117 lines, SystemVerilog_2012] ... +Loading (1808) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h.sv [2 ms, 144 lines, SystemVerilog_2012] ... +Loading (1809) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv [2 ms, 190 lines, SystemVerilog_2012] ... +Loading (1810) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv ... +Optimizing (1811) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv [22 ms, 945 lines, SystemVerilog_2012] ... +Loading (1812) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv ... +Optimizing (1813) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv [79 ms, 1944 lines, SystemVerilog_2012] ... +Loading (1814) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv ... +Optimizing (1815) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv [6 ms, 363 lines, SystemVerilog_2012] ... +Loading (1816) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv ... +Optimizing (1817) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv [30 ms, 907 lines, SystemVerilog_2012] ... +Loading (1818) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv ... +Optimizing (1819) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv [45 ms, 1326 lines, SystemVerilog_2012] ... +Loading (1820) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv ... +Optimizing (1821) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv [40 ms, 1293 lines, SystemVerilog_2012] ... +Loading (1822) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_uvm.sv ... +Optimizing (1823) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_uvm.sv [24 ms, 594 lines, SystemVerilog_2012] ... +Loading (1824) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv ... +Optimizing (1825) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv [34 ms, 1036 lines, SystemVerilog_2012] ... +Loading (1826) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv ... +Optimizing (1827) /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/uvm_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv [77 ms, 1358 lines, SystemVerilog_2012] ... +Loading (1828) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv ... +Loading (1829) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Loading (1830) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [0 ms, 198 lines, Verilog_2001] ... +Loading (1831) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh [1 ms, 198 lines, Verilog_2001] ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv [502 ms, 1469 lines, SystemVerilog_2012] ... +Loading (1832) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv [4 ms, 226 lines, SystemVerilog_2012] ... +Loading (1833) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv [4 ms, 226 lines, SystemVerilog_2012] ... +Loading (1834) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v [2 ms, 500 lines, Verilog_2001] ... +Loading (1835) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv [2 ms, 203 lines, SystemVerilog_2012] ... +Loading (1836) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_util_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_util_pkg.sv [1 ms, 101 lines, SystemVerilog_2012] ... +Loading (1837) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv [0 ms, 64 lines, SystemVerilog_2012] ... +Loading (1838) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_2sync.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_2sync.sv [1 ms, 62 lines, SystemVerilog_2012] ... +Loading (1839) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/coverage/ecc_top_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/coverage/ecc_top_cov_bind.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1840) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/coverage/ecc_top_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/coverage/ecc_top_cov_if.sv [16 ms, 163 lines, SystemVerilog_2012] ... +Loading (1841) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_fau.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_fau.sv [1 ms, 169 lines, SystemVerilog_2012] ... +Loading (1842) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv [3 ms, 271 lines, SystemVerilog_2012] ... +Loading (1843) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/coverage/hmac_ctrl_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/coverage/hmac_ctrl_cov_bind.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1844) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/coverage/hmac_ctrl_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/coverage/hmac_ctrl_cov_if.sv [1 ms, 78 lines, SystemVerilog_2012] ... +Loading (1845) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_bind.sv [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (1846) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_props.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_props.sv [1 ms, 56 lines, SystemVerilog_2012] ... +Loading (1847) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_bind.sv [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (1848) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_bind.sv [0 ms, 22 lines, SystemVerilog_2012] ... +Loading (1849) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/coverage/sha256_ctrl_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/coverage/sha256_ctrl_cov_bind.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1850) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/coverage/sha256_ctrl_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/coverage/sha256_ctrl_cov_if.sv [2 ms, 99 lines, SystemVerilog_2012] ... +Loading (1851) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/coverage/sha512_ctrl_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/coverage/sha512_ctrl_cov_bind.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1852) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/coverage/sha512_ctrl_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/coverage/sha512_ctrl_cov_if.sv [2 ms, 93 lines, SystemVerilog_2012] ... +Loading (1853) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv [0 ms, 64 lines, SystemVerilog_2012] ... +Loading (1854) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_2sync.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_2sync.sv [1 ms, 62 lines, SystemVerilog_2012] ... +Loading (1855) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_bind.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_bind.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (1856) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_if.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_if.sv [2 ms, 127 lines, SystemVerilog_2012] ... +Loading (1857) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv [5 ms, 442 lines, SystemVerilog_2012] ... +Loading (1858) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_buf.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_buf.sv [0 ms, 44 lines, SystemVerilog_2012] ... +Loading (1859) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop.sv [1 ms, 51 lines, SystemVerilog_2012] ... +Loading (1860) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_en.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_en.sv [0 ms, 55 lines, SystemVerilog_2012] ... +Loading (1861) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv [96 ms, 243 lines, SystemVerilog_2012] ... +Loading (1862) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_status.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_status.sv [1 ms, 80 lines, SystemVerilog_2012] ... +Loading (1863) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_bus.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_bus.sv [2 ms, 149 lines, SystemVerilog_2012] ... +Loading (1864) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_addr.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_addr.v [4 ms, 240 lines, Verilog_2001] ... +Loading (1865) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/caliptra_axi_sram.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/caliptra_axi_sram.sv [1 ms, 95 lines, SystemVerilog_2012] ... +Loading (1866) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_edge_detector.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_edge_detector.sv [1 ms, 56 lines, SystemVerilog_2012] ... +Loading (1867) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_dec.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_dec.sv [12 ms, 63 lines, SystemVerilog_2012] ... +Loading (1868) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_enc.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_39_32_enc.sv [0 ms, 25 lines, SystemVerilog_2012] ... +Loading (1869) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_dec.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_dec.sv [3 ms, 88 lines, SystemVerilog_2012] ... +Loading (1870) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_enc.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_inv_64_57_enc.sv [0 ms, 25 lines, SystemVerilog_2012] ... +Loading (1871) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv [0 ms, 33 lines, SystemVerilog_2012] ... +Loading (1872) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv [1 ms, 207 lines, SystemVerilog_2012] ... +Loading (1873) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv [1 ms, 92 lines, SystemVerilog_2012] ... +Loading (1874) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_cover_points.sv [1 ms, 47 lines, SystemVerilog_2012] ... +Loading (1875) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_cover_points.sv [1 ms, 47 lines, SystemVerilog_2012] ... +Loading (1876) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_cover_points.sv [1 ms, 47 lines, SystemVerilog_2012] ... +Loading (1877) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_core_cbc.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_core_cbc.sv [3 ms, 497 lines, SystemVerilog_2012] ... +Loading (1878) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_decipher_block.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_decipher_block.sv [6 ms, 558 lines, SystemVerilog_2012] ... +Loading (1879) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_encipher_block.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_encipher_block.sv [5 ms, 514 lines, SystemVerilog_2012] ... +Loading (1880) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_inv_sbox.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_inv_sbox.sv [7 ms, 325 lines, SystemVerilog_2012] ... +Loading (1881) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_key_mem.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_key_mem.sv [6 ms, 467 lines, SystemVerilog_2012] ... +Loading (1882) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_sbox.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_sbox.sv [3 ms, 327 lines, SystemVerilog_2012] ... +Loading (1883) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv [40 ms, 1418 lines, SystemVerilog_2012] ... +Loading (1884) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv [47 ms, 673 lines, SystemVerilog_2012] ... +Loading (1885) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv [10 ms, 741 lines, SystemVerilog_2012] ... +Loading (1886) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv [6 ms, 563 lines, SystemVerilog_2012] ... +Loading (1887) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv [10 ms, 751 lines, SystemVerilog_2012] ... +Loading (1888) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v [7 ms, 552 lines, Verilog_2001] ... +Loading (1889) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_add_sub_alter_coverpoints.sv [1 ms, 46 lines, SystemVerilog_2012] ... +Loading (1890) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv [1 ms, 87 lines, SystemVerilog_2012] ... +Loading (1891) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_dsa_ctrl_coverpoints.sv [2 ms, 131 lines, SystemVerilog_2012] ... +Loading (1892) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_montgomerymultiplier_coverpoints.sv [2 ms, 50 lines, SystemVerilog_2012] ... +Loading (1893) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv [3 ms, 118 lines, SystemVerilog_2012] ... +Loading (1894) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_add_sub_alter.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_add_sub_alter.sv [1 ms, 139 lines, SystemVerilog_2012] ... +Loading (1895) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_add_sub_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_add_sub_constraints.sv [1 ms, 71 lines, SystemVerilog_2012] ... +Loading (1896) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv [4 ms, 264 lines, SystemVerilog_2012] ... +Loading (1897) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface_constraints.sv [1 ms, 114 lines, SystemVerilog_2012] ... +Loading (1898) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv [4 ms, 211 lines, SystemVerilog_2012] ... +Loading (1899) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv [4 ms, 249 lines, SystemVerilog_2012] ... +Loading (1900) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe.sv [2 ms, 219 lines, SystemVerilog_2012] ... +Loading (1901) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_final.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_final.sv [1 ms, 127 lines, SystemVerilog_2012] ... +Loading (1902) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_first.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_first.sv [5 ms, 276 lines, SystemVerilog_2012] ... +Loading (1903) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_scalar_blinding.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_scalar_blinding.sv [2 ms, 141 lines, SystemVerilog_2012] ... +Loading (1904) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_add_sub_mod_alter.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_add_sub_mod_alter.sv [1 ms, 123 lines, SystemVerilog_2012] ... +Loading (1905) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_adder.sv [1 ms, 47 lines, SystemVerilog_2012] ... +Loading (1906) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_fau.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_fau.sv [1 ms, 162 lines, SystemVerilog_2012] ... +Loading (1907) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_hmac_drbg_interface.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_hmac_drbg_interface.sv [4 ms, 315 lines, SystemVerilog_2012] ... +Loading (1908) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_montgomerymultiplier.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_montgomerymultiplier.sv [6 ms, 376 lines, SystemVerilog_2012] ... +Loading (1909) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_mult_dsp.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_mult_dsp.sv [0 ms, 40 lines, SystemVerilog_2012] ... +Loading (1910) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe.sv [2 ms, 163 lines, SystemVerilog_2012] ... +Loading (1911) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_final.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_final.sv [1 ms, 129 lines, SystemVerilog_2012] ... +Loading (1912) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_first.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_first.sv [5 ms, 205 lines, SystemVerilog_2012] ... +Loading (1913) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_ram_tdp_file.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_ram_tdp_file.sv [1 ms, 89 lines, SystemVerilog_2012] ... +Loading (1914) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_scalar_blinding.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_scalar_blinding.sv [4 ms, 324 lines, SystemVerilog_2012] ... +Loading (1915) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv [3 ms, 339 lines, SystemVerilog_2012] ... +Loading (1916) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1917) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_adaptp_ht.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_adaptp_ht.sv [1 ms, 120 lines, SystemVerilog_2012] ... +Loading (1918) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_bucket_ht.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_bucket_ht.sv [0 ms, 90 lines, SystemVerilog_2012] ... +Loading (1919) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_cntr_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_cntr_reg.sv [5 ms, 44 lines, SystemVerilog_2012] ... +Loading (1920) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_markov_ht.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_markov_ht.sv [2 ms, 150 lines, SystemVerilog_2012] ... +Loading (1921) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_repcnt_ht.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_repcnt_ht.sv [1 ms, 117 lines, SystemVerilog_2012] ... +Loading (1922) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_repcnts_ht.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_repcnts_ht.sv [1 ms, 98 lines, SystemVerilog_2012] ... +Loading (1923) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_watermark_reg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_watermark_reg.sv [1 ms, 70 lines, SystemVerilog_2012] ... +Loading (1924) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/physical_rng.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/physical_rng.sv [0 ms, 72 lines, SystemVerilog_2012] ... +Loading (1925) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv [1 ms, 99 lines, SystemVerilog_2012] ... +Loading (1926) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv [1 ms, 60 lines, SystemVerilog_2012] ... +Loading (1927) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_coverpoints.sv [0 ms, 62 lines, SystemVerilog_2012] ... +Loading (1928) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_key_stable_top.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_key_stable_top.sv [1 ms, 48 lines, SystemVerilog_2012] ... +Loading (1929) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v [3 ms, 390 lines, Verilog_2001] ... +Loading (1930) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_lfsr.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_lfsr.sv [1 ms, 94 lines, SystemVerilog_2012] ... +Loading (1931) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1932) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv [1 ms, 111 lines, SystemVerilog_2012] ... +Loading (1933) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_cover_points.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_cover_points.sv [1 ms, 67 lines, SystemVerilog_2012] ... +Loading (1934) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/rtl/hmac_drbg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/rtl/hmac_drbg.sv [4 ms, 387 lines, SystemVerilog_2012] ... +Loading (1935) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv [9 ms, 375 lines, SystemVerilog_2012] ... +Loading (1936) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/test_suites/libs/jtagdpi/jtagdpi.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/test_suites/libs/jtagdpi/jtagdpi.sv [0 ms, 48 lines, SystemVerilog_2012] ... +Loading (1937) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1938) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1939) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_to_reg_adapter.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_to_reg_adapter.sv [1 ms, 66 lines, SystemVerilog_2012] ... +Loading (1940) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/apb_slv_sif.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/apb_slv_sif.sv [1 ms, 97 lines, SystemVerilog_2012] ... +Loading (1941) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_2ff_sync.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_2ff_sync.sv [0 ms, 40 lines, SystemVerilog_2012] ... +Loading (1942) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sram.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_sram.sv [1 ms, 50 lines, SystemVerilog_2012] ... +Loading (1943) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs.sv [24 ms, 1757 lines, SystemVerilog_2012] ... +Loading (1944) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv [1 ms, 26 lines, SystemVerilog_2012] ... +Loading (1945) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv [0 ms, 29 lines, SystemVerilog_2012] ... +Loading (1946) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_clk_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_clk_gen.sv [0 ms, 26 lines, SystemVerilog_2012] ... +Loading (1947) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_reset_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_reset_gen.sv [0 ms, 29 lines, SystemVerilog_2012] ... +Loading (1948) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1949) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_jtag_to_core_sync.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_jtag_to_core_sync.v [1 ms, 65 lines, Verilog_2001] ... +Loading (1950) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v [1 ms, 68 lines, Verilog_2001] ... +Loading (1951) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_wrapper.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_wrapper.v [1 ms, 89 lines, Verilog_2001] ... +Loading (1952) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v [5 ms, 213 lines, Verilog_2001] ... +Loading (1953) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv [1 ms, 90 lines, SystemVerilog_2012] ... +Loading (1954) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_constraints.sv [0 ms, 55 lines, SystemVerilog_2012] ... +Loading (1955) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_coverpoints.sv [1 ms, 49 lines, SystemVerilog_2012] ... +Loading (1956) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_constraints.sv [5 ms, 54 lines, SystemVerilog_2012] ... +Loading (1957) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_coverpoints.sv [1 ms, 49 lines, SystemVerilog_2012] ... +Loading (1958) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_core.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_core.v [6 ms, 584 lines, Verilog_2001] ... +Loading (1959) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_ctrl.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_ctrl.sv [1 ms, 125 lines, SystemVerilog_2012] ... +Loading (1960) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v [2 ms, 134 lines, Verilog_2001] ... +Loading (1961) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_w_mem.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_w_mem.v [3 ms, 281 lines, Verilog_2001] ... +Loading (1962) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv [71 ms, 989 lines, SystemVerilog_2012] ... +Loading (1963) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v [7 ms, 698 lines, Verilog_2001] ... +Loading (1964) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_constraints.sv [1 ms, 57 lines, SystemVerilog_2012] ... +Loading (1965) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_coverpoints.sv [1 ms, 45 lines, SystemVerilog_2012] ... +Loading (1966) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_core.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_core.v [7 ms, 611 lines, Verilog_2001] ... +Loading (1967) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v [2 ms, 158 lines, Verilog_2001] ... +Loading (1968) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v [2 ms, 153 lines, Verilog_2001] ... +Loading (1969) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_w_mem.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_w_mem.v [5 ms, 280 lines, Verilog_2001] ... +Loading (1970) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1971) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv [1 ms, 86 lines, SystemVerilog_2012] ... +Loading (1972) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_coverpoints.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_coverpoints.sv [1 ms, 51 lines, SystemVerilog_2012] ... +Loading (1973) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv [6 ms, 478 lines, SystemVerilog_2012] ... +Loading (1974) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/wdt.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/wdt.sv [2 ms, 122 lines, SystemVerilog_2012] ... +Loading (1975) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (1976) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_byte_merge.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_byte_merge.sv [1 ms, 67 lines, SystemVerilog_2012] ... +Loading (1977) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_byte_select.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_byte_select.sv [1 ms, 54 lines, SystemVerilog_2012] ... +Loading (1978) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_command_queue.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_command_queue.sv [1 ms, 60 lines, SystemVerilog_2012] ... +Loading (1979) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_core.sv [2 ms, 146 lines, SystemVerilog_2012] ... +Loading (1980) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_data_fifos.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_data_fifos.sv [1 ms, 125 lines, SystemVerilog_2012] ... +Loading (1981) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv [8 ms, 519 lines, SystemVerilog_2012] ... +Loading (1982) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_rx.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_rx.sv [1 ms, 106 lines, SystemVerilog_2012] ... +Loading (1983) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_tx.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_tx.sv [1 ms, 80 lines, SystemVerilog_2012] ... +Loading (1984) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_2ff_sync.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_2ff_sync.sv [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (1985) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_add_sub_mod.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_add_sub_mod.sv [3 ms, 123 lines, SystemVerilog_2012] ... +Loading (1986) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_adder.sv [3 ms, 47 lines, SystemVerilog_2012] ... +Loading (1987) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_delay_masked_shares.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_delay_masked_shares.sv [1 ms, 72 lines, SystemVerilog_2012] ... +Loading (1988) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_A2B_conv.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_A2B_conv.sv [2 ms, 142 lines, SystemVerilog_2012] ... +Loading (1989) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_AND.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_AND.sv [1 ms, 80 lines, SystemVerilog_2012] ... +Loading (1990) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_MUX.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_MUX.sv [1 ms, 122 lines, SystemVerilog_2012] ... +Loading (1991) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Arith_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Arith_adder.sv [1 ms, 62 lines, SystemVerilog_2012] ... +Loading (1992) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_adder.sv [2 ms, 140 lines, SystemVerilog_2012] ... +Loading (1993) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_sub.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_sub.sv [2 ms, 134 lines, SystemVerilog_2012] ... +Loading (1994) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult.sv [1 ms, 82 lines, SystemVerilog_2012] ... +Loading (1995) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (1996) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_add_sub_mod_Boolean.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_add_sub_mod_Boolean.sv [2 ms, 142 lines, SystemVerilog_2012] ... +Loading (1997) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_full_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_full_adder.sv [2 ms, 102 lines, SystemVerilog_2012] ... +Loading (1998) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_piso.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_piso.sv [1 ms, 109 lines, SystemVerilog_2012] ... +Loading (1999) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_piso_4.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_piso_4.sv [2 ms, 132 lines, SystemVerilog_2012] ... +Loading (2000) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ram_regout.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ram_regout.sv [1 ms, 62 lines, SystemVerilog_2012] ... +Loading (2001) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sample_buffer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sample_buffer.sv [2 ms, 132 lines, SystemVerilog_2012] ... +Loading (2002) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv [2 ms, 188 lines, SystemVerilog_2012] ... +Loading (2003) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_B2A_conv_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_B2A_conv_tb.sv [2 ms, 181 lines, SystemVerilog_2012] ... +Loading (2004) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv [2 ms, 182 lines, SystemVerilog_2012] ... +Loading (2005) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv [2 ms, 182 lines, SystemVerilog_2012] ... +Loading (2006) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv [2 ms, 194 lines, SystemVerilog_2012] ... +Loading (2007) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh [1 ms, 170 lines, SystemVerilog_2012] ... +Loading (2008) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_clk_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_clk_gen.sv [0 ms, 26 lines, SystemVerilog_2012] ... +Loading (2009) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_reset_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_reset_gen.sv [0 ms, 29 lines, SystemVerilog_2012] ... +Loading (2010) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv [1 ms, 26 lines, SystemVerilog_2012] ... +Loading (2011) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv [0 ms, 29 lines, SystemVerilog_2012] ... +Loading (2012) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_edge_detector.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_edge_detector.sv [1 ms, 56 lines, SystemVerilog_2012] ... +Loading (2013) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv [1 ms, 33 lines, SystemVerilog_2012] ... +Loading (2014) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_w1_mem.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_w1_mem.sv [1 ms, 77 lines, SystemVerilog_2012] ... +Loading (2015) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/rtl/verilog/verilog_dut.v ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/rtl/verilog/verilog_dut.v [0 ms, 22 lines, Verilog_2001] ... +Loading (2016) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_div2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_div2.sv [0 ms, 40 lines, SystemVerilog_2012] ... +Loading (2017) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_special_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_special_adder.sv [2 ms, 110 lines, SystemVerilog_2012] ... +Loading (2018) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_dsp.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_dsp.sv [1 ms, 40 lines, SystemVerilog_2012] ... +Loading (2019) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv [1 ms, 145 lines, SystemVerilog_2012] ... +Loading (2020) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_special_adder.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_special_adder.sv [1 ms, 102 lines, SystemVerilog_2012] ... +Loading (2021) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv [2 ms, 144 lines, SystemVerilog_2012] ... +Loading (2022) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv [2 ms, 142 lines, SystemVerilog_2012] ... +Loading (2023) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv [1 ms, 208 lines, SystemVerilog_2012] ... +Loading (2024) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_ram_tdp_file.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_ram_tdp_file.sv [2 ms, 103 lines, SystemVerilog_2012] ... +Loading (2025) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_sequencer.sv [0 ms, 16 lines, SystemVerilog_2012] ... +Loading (2026) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_sequencer.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_sequencer.sv [0 ms, 16 lines, SystemVerilog_2012] ... +Loading (2027) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq_lib.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq_lib.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (2028) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_core.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_core.sv [0 ms, 50 lines, SystemVerilog_2012] ... +Loading (2029) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_skencode.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_skencode.sv [1 ms, 42 lines, SystemVerilog_2012] ... +Loading (2030) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded2.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded2.sv [0 ms, 49 lines, SystemVerilog_2012] ... +Loading (2031) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler.sv [1 ms, 43 lines, SystemVerilog_2012] ... +Loading (2032) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball.sv [0 ms, 37 lines, SystemVerilog_2012] ... +Loading (2033) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sib_mem.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sib_mem.sv [1 ms, 57 lines, SystemVerilog_2012] ... +Loading (2034) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_unit.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_unit.sv [1 ms, 105 lines, SystemVerilog_2012] ... +Loading (2035) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_unit.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_unit.sv [1 ms, 107 lines, SystemVerilog_2012] ... +Loading (2036) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_s1s2_unpack.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_s1s2_unpack.sv [1 ms, 72 lines, SystemVerilog_2012] ... +Loading (2037) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_t0_unpack.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_t0_unpack.sv [1 ms, 113 lines, SystemVerilog_2012] ... +Loading (2038) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/main.sv [0 ms, 15 lines, SystemVerilog_2012] ... +Loading (2039) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/top_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/top_pkg.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (2040) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/main.sv [0 ms, 17 lines, SystemVerilog_2012] ... +Loading (2041) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/top_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/top_pkg.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (2042) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv [0 ms, 38 lines, SystemVerilog_2012] ... +Loading (2043) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/main.sv [0 ms, 15 lines, SystemVerilog_2012] ... +Loading (2044) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/top_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/top_pkg.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (2045) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/main.sv [0 ms, 17 lines, SystemVerilog_2012] ... +Loading (2046) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/top_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/top_pkg.sv [0 ms, 21 lines, SystemVerilog_2012] ... +Loading (2047) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv [0 ms, 38 lines, SystemVerilog_2012] ... +Loading (2048) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cipher_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cipher_pkg.sv [9 ms, 398 lines, SystemVerilog_2012] ... +Loading (2049) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_pkg.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (2050) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_secded_pkg.sv [51 ms, 1788 lines, SystemVerilog_2012] ... +Loading (2051) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/keymgr_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/keymgr_pkg.sv [1 ms, 20 lines, SystemVerilog_2012] ... +Loading (2052) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_intr_regs_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_intr_regs_pkg.sv [0 ms, 77 lines, SystemVerilog_2012] ... +Loading (2053) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/edn/rtl/edn_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/edn/rtl/edn_pkg.sv [0 ms, 52 lines, SystemVerilog_2012] ... +Loading (2054) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_pkg.sv [1 ms, 92 lines, SystemVerilog_2012] ... +Loading (2055) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_pkg.sv [3 ms, 76 lines, SystemVerilog_2012] ... +Loading (2056) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cipher_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cipher_pkg.sv [13 ms, 398 lines, SystemVerilog_2012] ... +Loading (2057) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_pkg.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_pkg.sv [0 ms, 18 lines, SystemVerilog_2012] ... +Loading (2058) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_bus_inf.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_bus_inf.sv [1 ms, 63 lines, SystemVerilog_2012] ... +Loading (2059) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv [9 ms, 550 lines, SystemVerilog_2012] ... +Loading (2060) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi [14 ms, 396 lines, SystemVerilog_2012] ... +Loading (2061) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_macros.svh [0 ms, 19 lines, SystemVerilog_2012] ... +Loading (2062) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_B2A_conv.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_B2A_conv.sv [1 ms, 97 lines, SystemVerilog_2012] ... +Loading (2063) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_buf.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_buf.sv [1 ms, 44 lines, SystemVerilog_2012] ... +Loading (2064) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop.sv [0 ms, 51 lines, SystemVerilog_2012] ... +Loading (2065) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_en.sv ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_en.sv [1 ms, 55 lines, SystemVerilog_2012] ... +Loading (2066) /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_macros.svh ... +Done /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_macros.svh [0 ms, 42 lines, SystemVerilog_2012] ... +*** Done invocation #1 [21612 ms] *** +*** Done parsing [LT 21014 ms, PT 21612 ms] *** +*** Total number of lines [460 360] *** +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_MBOX_VALID_PAUSER_0 + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:138 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_MBOX_PAUSER_LOCK_0 + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:139 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_TRNG_VALID_PAUSER + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:140 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_TRNG_PAUSER_LOCK + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:141 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_FUSE_VALID_PAUSER + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:162 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_FUSE_PAUSER_LOCK + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:163 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_HW_CONFIG_QSPI_EN_MASK + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:332 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_HW_CONFIG_I3C_EN_MASK + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:333 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_HW_CONFIG_UART_EN_MASK + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:334 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_FLOW_STATUS_READY_FOR_FW_MASK + at line 339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:339 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_MBOX_PAUSER_LOCK_0_LOCK_MASK + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:343 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_TRNG_PAUSER_LOCK_LOCK_MASK + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:344 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_CPTRA_FUSE_PAUSER_LOCK_LOCK_MASK + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:359 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_FUSE_KEY_MANIFEST_PK_HASH_MASK_MASK_MASK + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:362 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `SOC_IFC_REG_FUSE_LMS_VERIFY_LMS_VERIFY_MASK + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:363 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `CALIPTRA_SLAVE_SEL_I3C + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:73 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `CALIPTRA_SLAVE_SEL_UART + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:74 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `CALIPTRA_SLAVE_SEL_QSPI + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:75 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found) + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:45 [compile index 852][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:48 [compile index 853][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read2reg_adapter.svh:97 [compile index 943][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `CALIPTRA_APB_DATA_WIDTH + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:85 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Undefined macro name: `CALIPTRA_APB_USER_WIDTH + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:86 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found with the name: 'custom expected_analysis_export_scoreboard') + at line 341 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:341 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf begin pragma with same name: custom actual_analysis_export_scoreboard at line: 321. + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:348 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found with the name: 'custom expected_analysis_export_scoreboard') + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:368 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf begin pragma with same name: custom actual_analysis_export_scoreboard at line: 321. + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:375 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found with the name: 'custom expected_analysis_export_scoreboard') + at line 395 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:395 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf begin pragma with same name: custom actual_analysis_export_scoreboard at line: 321. + at line 402 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:402 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found with the name: 'custom expected_analysis_export_scoreboard') + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:422 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf begin pragma with same name: custom actual_analysis_export_scoreboard at line: 321. + at line 443 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:443 [compile index 1149][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected uvmf end pragma (no starting uvmf begin pragma found) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:103 [compile index 1213][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting uvmf end pragma waiver pragma started at 73. + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv:153 [compile index 1439][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'packed' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pdef.vh:19 [compile index 1444][invocation 1] +*** Error: VERILOG_2001: Unexpected token '}' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pdef.vh:196 [compile index 1444][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:1 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:9 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:10 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:12 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:13 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:14 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:15 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:16 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:18 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:19 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:20 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:26 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:36 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:38 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:39 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:40 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:43 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:44 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:50 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:72 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:73 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:74 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:75 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:76 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:78 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:79 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:81 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:87 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:90 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:91 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:97 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:98 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:101 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:107 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:108 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:112 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:119 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:120 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:127 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:143 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:149 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:150 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:160 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:166 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:167 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:168 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:184 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:190 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:225 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:226 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:227 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:228 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:229 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:230 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:232 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:233 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:234 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:235 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:236 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:237 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:238 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:239 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:240 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:241 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:243 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:244 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:245 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:250 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:252 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:253 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:255 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:256 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:257 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:259 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:262 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:263 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:266 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:267 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:268 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:269 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:270 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:271 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:272 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:274 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:277 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:278 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:281 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:282 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:284 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:285 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:286 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:287 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:288 [compile index 1516][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:1 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:9 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:10 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:12 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:13 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:14 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:15 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:16 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:18 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:19 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:20 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:26 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:36 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:38 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:39 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:40 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:43 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:44 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:50 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:72 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:73 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:74 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:75 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:76 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:78 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:79 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:81 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:87 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:90 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:91 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:97 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:101 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:107 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:108 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:112 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:119 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:120 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:127 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:143 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:149 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:150 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:160 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:166 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:167 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:168 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:184 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:190 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:225 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:226 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:227 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:228 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:229 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:230 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:232 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:233 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:234 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:235 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:236 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:237 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:238 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:239 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:240 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:241 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:243 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:244 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:245 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:250 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:252 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:253 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:255 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:256 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:257 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:259 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:262 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:263 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:266 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:267 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:268 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:269 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:270 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:271 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:272 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:274 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:277 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:278 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:282 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:284 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:285 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:286 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:287 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:288 [compile index 1517][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:1 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:9 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:10 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:12 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:13 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:14 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:15 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:16 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:18 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:19 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:20 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:26 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:36 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:38 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:39 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:40 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:43 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:44 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:50 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:72 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:73 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:74 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:75 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:76 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:78 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:79 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:81 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:87 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:90 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:91 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:97 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:101 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:107 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:108 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:112 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:119 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:120 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:127 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:143 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:149 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:150 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:160 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:166 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:167 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:168 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:184 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:190 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:225 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:226 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:227 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:228 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:229 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:230 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:232 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:233 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:234 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:235 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:236 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:237 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:238 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:239 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:240 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:241 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:243 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:244 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:245 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:250 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:252 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:253 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:255 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:256 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:257 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:259 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:262 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:263 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:266 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:267 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:268 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:269 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:270 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:271 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:272 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:274 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:277 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:278 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:282 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:284 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:285 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:286 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:287 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:288 [compile index 1518][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:1 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:9 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:10 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:12 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:13 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:14 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:15 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:16 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:18 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:19 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:20 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:26 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:36 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:38 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:39 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:40 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:43 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:44 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:50 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:72 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:73 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:74 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:75 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:76 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:78 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:79 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:81 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:87 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:90 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:91 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:97 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:98 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:101 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:107 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:108 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:112 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:119 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:120 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:127 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:143 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:149 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:150 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:160 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:166 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:167 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:168 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:184 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:190 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:225 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:226 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:227 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:228 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:229 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:230 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:232 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:233 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:234 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:235 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:236 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:237 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:238 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:239 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:240 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:241 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:243 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:244 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:245 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:250 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:252 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:253 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:255 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:256 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:257 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:259 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:262 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:263 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:266 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:267 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:268 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:269 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:270 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:271 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:272 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:274 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:277 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:278 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:281 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:282 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:284 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:285 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:286 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:287 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:288 [compile index 1519][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:1 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:9 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:10 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:12 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:13 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:14 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:15 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:16 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:18 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:19 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:20 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:26 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:36 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:38 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:39 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:40 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:43 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:44 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:50 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:72 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:73 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:74 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:75 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:76 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:78 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:79 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:81 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:87 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:90 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:91 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:97 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:101 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:107 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:108 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:112 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:119 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:120 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:127 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:143 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:149 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:150 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:160 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:166 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:167 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:168 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:184 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:190 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:225 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:226 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:227 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:228 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:229 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:230 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:232 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:233 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:234 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:235 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:236 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:237 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:238 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:239 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:240 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:241 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:243 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:244 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:245 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:250 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:252 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:253 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:255 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:256 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:257 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:259 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:262 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:263 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:266 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:267 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:268 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:269 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:270 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:271 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:272 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:274 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:277 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:278 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:282 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:284 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:285 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:286 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:287 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:288 [compile index 1520][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:1 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'class' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:9 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:10 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'virtual' [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:12 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:13 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:14 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'protected' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:15 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:16 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:18 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:19 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:20 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'endclass' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:26 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'covergroup' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:36 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:38 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:39 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:40 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'endgroup' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:43 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:44 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:50 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '1' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:72 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '}' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:73 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'coverpoint' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:74 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '0' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:75 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'bins' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:76 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'endmacro' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:78 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:79 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:81 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:87 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:90 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:91 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:97 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token ''' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:101 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:107 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:108 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:112 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:119 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:120 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting 'endfunction', found 'function' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:127 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:143 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:149 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:150 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:160 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:166 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'function' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:167 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:168 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:184 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:190 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:225 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:226 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:227 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:228 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:229 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:230 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:232 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'end' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:233 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:234 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:235 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:236 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:237 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:238 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:239 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:240 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:241 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token 'this' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:243 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:244 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:245 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:250 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:252 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:253 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:255 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '-' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:256 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:257 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:259 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:262 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:263 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:266 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:267 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:268 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:269 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:270 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:271 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:272 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:274 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:277 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:278 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:282 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:284 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:285 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:286 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:287 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:288 [compile index 1521][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "aes_clp_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "aes_clp_reg_sample.svh" + at line 943 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:943 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "axi_dma_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "axi_dma_reg_sample.svh" + at line 1942 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1942 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "dv_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "dv_reg_sample.svh" + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:361 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "doe_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "doe_reg_sample.svh" + at line 905 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:905 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "ecc_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "ecc_reg_sample.svh" + at line 1324 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:1324 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "hmac_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "hmac_reg_sample.svh" + at line 1291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:1291 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "sha256_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "sha256_reg_sample.svh" + at line 1034 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:1034 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "sha512_reg_covergroups.svh" + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:6 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "sha512_reg_sample.svh" + at line 1356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1356 [compile index 1][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'unique' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v:244 [compile index 1929][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'unique' + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v:304 [compile index 1929][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'always_comb' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:85 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:86 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:88 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:89 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:90 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:91 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:92 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:93 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:94 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:95 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:96 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:97 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:98 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:99 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:100 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:101 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:102 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:103 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nstate' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:104 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'always_comb' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:154 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nsr' + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:155 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nsr' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:159 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nsr' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:160 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nsr' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:161 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Expecting ':', found ']' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:165 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token ':' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:167 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Expecting ':', found ']' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:168 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token '}' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:171 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'nsr' + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:172 [compile index 1952][invocation 1] +*** Error: VERILOG_2001: Expecting ')', found '.' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:119 [compile index 1963][invocation 1] +*** Error: VERILOG_2001: Unexpected token '.' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:120 [compile index 1963][invocation 1] +*** Error: VERILOG_2001: Unexpected token '.' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:121 [compile index 1963][invocation 1] +*** Error: VERILOG_2001: Expecting ';', found ')' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:122 [compile index 1963][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'unique' + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_core.v:523 [compile index 1966][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'unique' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v:85 [compile index 1967][invocation 1] +*** Error: VERILOG_2001: Unexpected token 'unique' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:61 [compile index 1968][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '{' + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/main.sv:1 [compile index 2038][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/main.sv:9 [compile index 2038][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/main.sv:9 [compile index 2040][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv:18 [compile index 2042][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '(' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv:24 [compile index 2042][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv:26 [compile index 2042][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "{{get_class_name(node)}}_covergroups.svh" + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv:32 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "{{get_class_name(node)}}_sample.svh" + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/main.sv:36 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/main.sv:9 [compile index 2043][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/main.sv:9 [compile index 2045][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv:18 [compile index 2047][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Unexpected token '(' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv:24 [compile index 2047][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ::, found ')' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv:26 [compile index 2047][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "{{get_class_name(node)}}_covergroups.svh" + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv:32 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Cannot open `include file "{{get_class_name(node)}}_sample.svh" + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/main.sv:36 [compile index 1][invocation 1] +*** Error: SYSTEM_VERILOG_2012: Expecting ';', found 'package' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cipher_pkg.sv:17 [compile index 2048][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'UVM_CMDLINE_PROCESSOR_SV' found 'UVM_CMDLINE_PROC_PKG_SV' + at line 432 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_cmdline_processor.svh:432 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'ABR_PRIM_ASSERT_SEC_CM_SVH' found 'PRIM_ASSERT_SEC_CM_SVH' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert_sec_cm.svh:48 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'ABR_PRIM_FLOP_MACROS_SV' found 'PRIM_FLOP_MACROS_SV' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_macros.sv:74 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'ABR_PRIM_ASSERT_SV' found 'PRIM_ASSERT_SV' + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_assert.sv:182 [compile index 1][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:84 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:99 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:126 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:276 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:290 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:330 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 1254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1254 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 1255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1255 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 1458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1458 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 1487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1487 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 1553 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1553 [compile index 754][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 1778 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1778 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 1793 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1793 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 1807 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1807 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 1828 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1828 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 1869 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1869 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 2750 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2750 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 2826 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2826 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 2899 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2899 [compile index 768][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:67 [compile index 771][invocation 1] +*** Warning: NON_STANDARD_ASSIGNMENT_PATTERN: Expecting assignment pattern '{...} instead of concatenation + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:483 [compile index 771][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:296 [compile index 780][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 761 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:761 [compile index 780][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 781 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:781 [compile index 780][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 784 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:784 [compile index 780][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:54 [compile index 817][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:55 [compile index 817][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:41 [compile index 818][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:43 [compile index 818][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh:37 [compile index 819][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh:37 [compile index 820][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:345 [compile index 825][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR_RANGE: Expecting curly braces {} around 'inside' operator range + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:348 [compile index 825][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:39 [compile index 832][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:42 [compile index 832][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:39 [compile index 833][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:42 [compile index 833][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:40 [compile index 840][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:43 [compile index 840][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh:34 [compile index 841][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh:34 [compile index 842][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh:34 [compile index 843][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh:34 [compile index 844][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh:34 [compile index 845][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh:34 [compile index 846][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh:35 [compile index 846][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh:34 [compile index 847][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh:35 [compile index 847][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh:34 [compile index 848][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh:35 [compile index 848][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh:33 [compile index 849][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh:34 [compile index 849][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:40 [compile index 850][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:42 [compile index 850][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:39 [compile index 852][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:42 [compile index 852][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:42 [compile index 853][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:45 [compile index 853][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh:34 [compile index 854][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh:34 [compile index 855][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh:34 [compile index 856][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh:34 [compile index 857][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh:35 [compile index 857][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh:34 [compile index 858][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh:35 [compile index 858][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh:34 [compile index 859][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh:35 [compile index 859][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh:34 [compile index 860][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh:34 [compile index 861][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh:34 [compile index 862][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh:34 [compile index 863][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh:34 [compile index 864][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh:34 [compile index 865][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:41 [compile index 866][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh:34 [compile index 867][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:38 [compile index 868][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:41 [compile index 868][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh:37 [compile index 869][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh:37 [compile index 870][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:40 [compile index 871][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:43 [compile index 871][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh:34 [compile index 872][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh:34 [compile index 873][invocation 1] +*** Warning: NON_STANDARD_PROTOTYPE_RETURN_DATA_TYPE: Expecting return data type or void for function prototype + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh:35 [compile index 873][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Redefinition of macro name: DEC + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:314 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Redefinition of macro name: ICCM_PATH + at line 1014 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1014 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Redefinition of macro name: DRAM + at line 1019 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1019 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Redefinition of macro name: IRAM + at line 1020 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1020 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_AW' found '' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:37 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_DW' found '' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:42 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_UW' found '' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:47 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_IW' found '' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:52 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_C_LAT' found '' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:57 [compile index 1][invocation 1] +*** Warning: SYSTEM_VERILOG_2012: Expecting `endif label 'CALIPTRA_AXI_SUB_EX_EN' found '' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:62 [compile index 1][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1516][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520][invocation 1] +*** Warning: NON_STANDARD_QUALIFIER_BEFORE_METHOD: 'static' qualifier not allowed before method keyword [Right click to show macro trace] + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR: 'inside' operator in constant expression not allowed + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv:32 [compile index 1552][invocation 1] +*** Warning: NON_STANDARD_CONST_EXPR_PACKED_DIMENSION: Expecting constant range instead of constant expression for packed dimension + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:51 [compile index 1597][invocation 1] +*** Warning: NON_STANDARD_INSIDE_OPERATOR: 'inside' operator in constant expression not allowed + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv:30 [compile index 1785][invocation 1] +*** Warning: NON_STANDARD_BEGIN_END_OUTSIDE_GENERATE: 'begin/end' generate block not allowed + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:85 [compile index 1952][invocation 1] +*** Warning: NON_STANDARD_BEGIN_END_OUTSIDE_GENERATE: 'begin/end' generate block not allowed + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:154 [compile index 1952][invocation 1] +*** Warning: NON_STANDARD_IMPLICIT_INSTANCE_NAME: Implicit name not allowed for instance of 'error_intr' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:119 [compile index 1963][invocation 1] +Performing post full build actions ... +Performing post full build step 1 (SRI) [9 ms] ... +Performing post full build step 2 (RI) [66 ms] ... +Performing post full build step 3 (RCP) [96 ms] ... +*** Files summary [2066 total, 1633 unique, 318 optimized] *** +*** Done SystemVerilog build [21s.856ms] *** + +*** Start mixed mode extension build *** +Performing mixed post full build step (VLOG - RI) [75 ms] ... +*** Warning: UNSPECIFIED_TOP: Please specify a -top module/entity/configuration in the project build file +*** Top design candidates: work.exp_mask_tb, work.hdl_top, work.mldsa_top_tb, work.abr_prim_alert_receiver, work.abr_prim_arbiter_ppc, work.abr_prim_alert_sender, work.abr_prim_reg_we_check, work.abr_prim_packer_fifo, work.abr_prim_sum_tree, work.abr_prim_max_tree, work.abr_prim_intr_hw, ... +Performing mixed post full build step (MIXED - ELAB) [13300 ms] ... +Performing mixed post full build step (MIXED - UNEL) [7724 ms] ... +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'lhs' of '64-bit' type from 'lhs' of '4096-bit' type + at line 151 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_comparer.svh:151 [compile index 33] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rhs' of '64-bit' type from 'rhs' of '4096-bit' type + at line 151 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_comparer.svh:151 [compile index 33] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'mask' of '64-bit' type from '- 1' of '32-bit' type + at line 210 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_comparer.svh:210 [compile index 33] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'is_non_null' of '8-bit' type from 'm_bits[count +: 4]' of '4-bit' type + at line 671 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_packer.svh:671 [compile index 34] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'value' of '1024-bit' type from 'value' of '4096-bit' type + at line 138 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:138 [compile index 35] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'ival' of '64-bit' type + at line 152 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:152 [compile index 35] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'v' of '32-bit' type + at line 173 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:173 [compile index 35] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'value' of '1024-bit' type from 'uvm_string_to_bits()' of '115200-bit' type + at line 196 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:196 [compile index 35] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'value' of '64-bit' type + at line 208 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:208 [compile index 35] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'value' of '1024-bit' type from 'uvm_string_to_bits()' of '115200-bit' type + at line 221 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_recorder.svh:221 [compile index 35] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'verbosity' of '32-bit' type from 'UVM_INFO' of '2-bit' type + at line 2968 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:2968 [compile index 53] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'verbosity' of '32-bit' type from 'UVM_INFO' of '2-bit' type + at line 3085 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3085 [compile index 53] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'recording_detail' of unsigned type and item expression 'UVM_LOW' of signed type + at line 3133 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3133 [compile index 53] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'recording_detail' of unsigned type and item expression 'UVM_MEDIUM' of signed type + at line 3135 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3135 [compile index 53] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'recording_detail' of unsigned type and item expression 'UVM_HIGH' of signed type + at line 3137 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3137 [compile index 53] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'recording_detail' of unsigned type and item expression 'UVM_FULL' of signed type + at line 3139 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3139 [compile index 53] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'recording_detail' of '32-bit' type from 'value' of '4096-bit' type + at line 3166 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3166 [compile index 53] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'args[4].atoi()' of '32-bit' type + at line 3219 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_component.svh:3219 [compile index 53] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'replace' of '1-bit' type from 'replace' of '32-bit' type + at line 702 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_root.svh:702 [compile index 54] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning '$cast()' of '32-bit' type for function of '1-bit' return type + at line 204 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_callback.svh:204 [compile index 39] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'warned' of '1-bit' type from 'm_cb_find_name()' of '32-bit' type + at line 253 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_callback.svh:253 [compile index 39] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning '$cast()' of '32-bit' type for function of '1-bit' return type + at line 567 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_callback.svh:567 [compile index 39] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'report_ok' of '1-bit' type from 'uvm_report_catcher::process_al...' of '32-bit' type + at line 285 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh:285 [compile index 42] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression '1' of signed type and item expression '((name == "") && (filename == ...' of unsigned type + at line 382 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh:382 [compile index 42] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression '1' of signed type and item expression '((name != "") && (filename == ...' of unsigned type + at line 384 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh:384 [compile index 42] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression '1' of signed type and item expression '((name == "") && (filename != ...' of unsigned type + at line 386 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh:386 [compile index 42] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression '1' of signed type and item expression '((name != "") && (filename != ...' of unsigned type + at line 391 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_report_server.svh:391 [compile index 42] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'begin_time' of '64-bit' type from '- 1' of '32-bit' type + at line 466 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_transaction.svh:466 [compile index 45] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'end_time' of '64-bit' type from '- 1' of '32-bit' type + at line 467 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_transaction.svh:467 [compile index 45] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'accept_time' of '64-bit' type from '- 1' of '32-bit' type + at line 468 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_transaction.svh:468 [compile index 45] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'top.uvm_report_enabled()' of '32-bit' type for function of '1-bit' return type + at line 119 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/base/uvm_globals.svh:119 [compile index 57] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'scope_separator' of '8-bit' type from 'UVM_DEC' of '32-bit' type + at line 810 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_library.svh:810 [compile index 95] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'lhs' of '64-bit' type from 'l_count' of '32-bit' type + at line 120 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh:120 [compile index 96] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rhs' of '64-bit' type from 'l_count' of '32-bit' type + at line 120 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh:120 [compile index 96] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'req_kind' of '32-bit' type from 'l_kind' of '10-bit' type + at line 201 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh:201 [compile index 96] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'lhs' of '64-bit' type from 'l_count' of '32-bit' type + at line 221 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh:221 [compile index 96] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rhs' of '64-bit' type from 'l_count' of '32-bit' type + at line 221 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequence_builtin.svh:221 [compile index 96] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_command' of '32-bit' type + at line 522 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:522 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_length' of '32-bit' type + at line 523 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:523 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_data[i]' of '8-bit' type + at line 525 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:525 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_response_status' of '32-bit' type + at line 526 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:526 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_byte_enable_length' of '32-bit' type + at line 527 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:527 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_byte_enable[i]' of '8-bit' type + at line 529 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:529 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tmp__' of '64-bit' type from 'm_streaming_width' of '32-bit' type + at line 530 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:530 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_address' of '64-bit' type from 'tmp__' of '4096-bit' type + at line 543 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:543 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'e__' of '64-bit' type from 'packer.m_bits[packer.count +: ...' of '32-bit' type + at line 544 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:544 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'e__' of '64-bit' type from 'tmp__' of '4096-bit' type + at line 544 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:544 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_length' of '32-bit' type from 'tmp__' of '4096-bit' type + at line 545 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:545 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_data[i]' of '8-bit' type from 'tmp__' of '4096-bit' type + at line 549 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:549 [compile index 100] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'e__' of '64-bit' type from 'packer.m_bits[packer.count +: ...' of '32-bit' type + at line 550 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:550 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'e__' of '64-bit' type from 'tmp__' of '4096-bit' type + at line 550 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:550 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_byte_enable_length' of '32-bit' type from 'tmp__' of '4096-bit' type + at line 551 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:551 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_byte_enable[i]' of '8-bit' type from 'tmp__' of '4096-bit' type + at line 555 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:555 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'm_streaming_width' of '32-bit' type from 'tmp__' of '4096-bit' type + at line 556 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/tlm2/uvm_tlm2_generic_payload.svh:556 [compile index 100] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 657 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh:657 [compile index 114] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 684 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh:684 [compile index 114] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 1082 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh:1082 [compile index 114] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 1103 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_field.svh:1103 [compile index 114] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 633 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:633 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 682 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:682 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from '- 1' of '32-bit' type + at line 1291 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1291 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'm_hdl_paths_pool.exists()' of '32-bit' type for function of '1-bit' return type + at line 1413 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1413 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1715 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1715 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1723 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1723 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 1936 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1936 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 1957 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:1957 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'byte_en' of '64-bit' type from '- 1' of '32-bit' type + at line 2280 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2280 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'byte_en' of '64-bit' type from '- 1' of '32-bit' type + at line 2538 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2538 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'rw.value[0]' of '64-bit' type + at line 2681 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2681 [compile index 116] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'slice' of '64-bit' type + at line 2688 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2688 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'val' of '64-bit' type from 'value' of '1024-bit' type + at line 2718 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2718 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'slice' of '64-bit' type from 'value' of '1024-bit' type + at line 2725 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:2725 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'offset' of '32-bit' type from 'parent_map.get_submap_offset()' of '64-bit' type + at line 3013 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:3013 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'offset' of '32-bit' type from 'this_map.get_base_addr()' of '64-bit' type + at line 3013 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg.svh:3013 [compile index 116] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'hdl_paths_pool.exists()' of '32-bit' type for function of '1-bit' return type + at line 304 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_file.svh:304 [compile index 119] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'size' of '64-bit' type for function of '32-bit' return type + at line 1095 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_vreg.svh:1095 [compile index 121] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'hdl_paths_pool.exists()' of '32-bit' type for function of '1-bit' return type + at line 2006 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_block.svh:2006 [compile index 124] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'root_hdl_paths.exists()' of '32-bit' type for function of '1-bit' return type + at line 2123 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_block.svh:2123 [compile index 124] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1218 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:1218 [compile index 122] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1226 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:1226 [compile index 122] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'slice' of '64-bit' type from 'value' of '1024-bit' type + at line 2074 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2074 [compile index 122] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rw.value[mem_idx]' of '64-bit' type from 'val' of '1024-bit' type + at line 2085 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2085 [compile index 122] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'rw.value[mem_idx]' of '64-bit' type + at line 2128 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2128 [compile index 122] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'value' of '1024-bit' type from 'slice' of '64-bit' type + at line 2135 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2135 [compile index 122] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'm_hdl_paths_pool.exists()' of '32-bit' type for function of '1-bit' return type + at line 2204 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2204 [compile index 122] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'offset' of '32-bit' type from 'parent_map.get_submap_offset()' of '64-bit' type + at line 2325 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2325 [compile index 122] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'offset' of '32-bit' type from 'this_map.get_base_addr()' of '64-bit' type + at line 2325 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_mem.svh:2325 [compile index 122] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from '- 1' of '32-bit' type + at line 809 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:809 [compile index 123] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from '- 1' of '32-bit' type + at line 942 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:942 [compile index 123] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1500 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:1500 [compile index 123] +*** Warning: WIDTH_MISMATCH_PADDING: Returning '- 1' of '32-bit' type for function of '64-bit' return type + at line 1505 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:1505 [compile index 123] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'byte_en' of '8-bit' type from '- 1' of '32-bit' type + at line 1811 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:1811 [compile index 123] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'byte_en' of '8-bit' type from '- 1' of '32-bit' type + at line 1943 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_map.svh:1943 [compile index 123] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from '- 1' of '32-bit' type + at line 110 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh:110 [compile index 117] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 116 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh:116 [compile index 117] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx' of '32-bit' type from 'm_idx.get()' of '64-bit' type + at line 125 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh:125 [compile index 117] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx' of '32-bit' type from 'm_idx.get_mirrored_value()' of '64-bit' type + at line 156 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh:156 [compile index 117] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rw.value[0]' of '64-bit' type from 'm_idx' of '32-bit' type + at line 303 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_indirect.svh:303 [compile index 117] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'gp.m_byte_enable[i]' of '8-bit' type from '1'b0' of '1-bit' type + at line 203 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_adapter.svh:203 [compile index 109] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'gp.m_byte_enable[i]' of '8-bit' type from 'rw.byte_en[i]' of '1-bit' type + at line 203 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_adapter.svh:203 [compile index 109] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rw.byte_en[i]' of '1-bit' type from 'gp.m_byte_enable[i]' of '8-bit' type + at line 239 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_adapter.svh:239 [compile index 109] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'byte_en' of '8-bit' type from '- 1' of '32-bit' type + at line 149 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_predictor.svh:149 [compile index 110] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'byte_en' of '64-bit' type from 'byte_en' of '8-bit' type + at line 207 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_predictor.svh:207 [compile index 110] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'reset' of '64-bit' type from '32'h0' of '32-bit' type + at line 79 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_fifo.svh:79 [compile index 118] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'be' of '8-bit' type from '- 1' of '32-bit' type + at line 228 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/uvm_reg_fifo.svh:228 [compile index 118] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'dc_mask[next_lsb]' of '1-bit' type from 'dc' of '32-bit' type + at line 118 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_bit_bash_seq.svh:118 [compile index 126] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 127 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_walk_seq.svh:127 [compile index 127] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 157 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_walk_seq.svh:157 [compile index 127] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 116 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh:116 [compile index 128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 124 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh:124 [compile index 128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 132 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh:132 [compile index 128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 145 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh:145 [compile index 128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'k' of '32-bit' type + at line 151 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_mem_access_seq.svh:151 [compile index 128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'offset' of '32-bit' type + at line 274 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:274 [compile index 130] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'offset' of '32-bit' type + at line 281 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:281 [compile index 130] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'offset' of '32-bit' type + at line 293 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:293 [compile index 130] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'offset' of '64-bit' type from 'offset' of '32-bit' type + at line 303 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_shared_access_seq.svh:303 [compile index 130] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'd' of '64-bit' type from 'value' of '1024-bit' type + at line 138 in /home/cad/tools/mentor/questa/2022.2_1/questasim/verilog_src/uvm-1.1d/src/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh:138 [compile index 132] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'x_prime' of '128-bit' type for function of '64-bit' return type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked_pkg.sv:96 [compile index 1775] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_vip_config' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:23 [compile index 252] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_agent' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:25 [compile index 252] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:27 [compile index 252] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:11 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:19 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 251] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 251] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:25 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:27 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:29 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:31 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:33 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:35 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:37 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:39 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:41 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:43 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:45 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:47 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:49 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:50 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:51 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:52 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:53 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:54 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:55 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:56 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:57 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:58 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:59 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:60 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:61 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:62 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:63 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:64 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:65 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:66 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:67 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:68 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:69 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:70 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:71 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:72 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:74 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:76 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:78 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:80 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:82 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:84 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:86 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:87 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:88 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:89 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:90 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:91 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:92 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:93 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'slave_id' is not a member of 'cfg' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:100 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_map' is not a member of 'cfg' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:103 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'cfg' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:124 [compile index 251] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:14 [compile index 266] +*** Error: NON_EXISTING_TYPE: Non existing type 'addr_map_entry_s' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:29 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:31 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:31 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:31 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:31 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:32 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:32 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:32 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:32 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:33 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:33 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:33 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:33 [compile index 266] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:34 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_mask' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:35 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env_config.svh:36 [compile index 266] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_lite_slave_0_agent_t' (type 'ahb_agent' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env.svh:40 [compile index 267] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_mvc_config' is not a member of 'ahb_lite_slave_0' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_env.svh:41 [compile index 267] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh:11 [compile index 269] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:46 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_lite_slave_0_cfg_t' (type 'ahb_vip_config' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:56 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'ahb_lite_slave_0_cfg' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:57 [compile index 270] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_cfg_t' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:11 [compile index 270] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_cfg_t' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:56 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ahb_lite_slave_0_bfm_t' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:57 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:57 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:59 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:59 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:82 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:82 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:88 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:88 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:93 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:93 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:97 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:97 [compile index 270] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_single_wr_deparam_seq' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:23 [compile index 271] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_single_rd_deparam_seq' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:24 [compile index 271] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ahb_lite_slave_0_seq_0' (type 'ahb_single_wr_deparam_seq' is undeclared) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:29 [compile index 271] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ahb_lite_slave_0_seq_1' (type 'ahb_single_rd_deparam_seq' is undeclared) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:30 [compile index 271] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_env_configuration' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:20 [compile index 1434] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_environment' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:21 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mldsa_bench_sequence_base' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:25 [compile index 1434] +*** Error: NON_EXISTING_TYPE: Non existing type 'qvip_memory_message_handler' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:32 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_qvip_ahb_lite_slave_subenv_ahb_lite_slave_0' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:36 [compile index 1434] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:39 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:40 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:52 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:71 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:85 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:85 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:85 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:85 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:91 [compile index 1434] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:91 [compile index 1434] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_env_configuration' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:20 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_environment' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:21 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_bench_sequence_base' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:25 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_in_agent_BFM' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:32 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_out_agent_BFM' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:33 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:36 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:37 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:38 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:49 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:68 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:71 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:71 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'HMAC_env_configuration' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:20 [compile index 1470] +*** Error: NON_EXISTING_TYPE: Non existing type 'HMAC_environment' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:21 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_bench_sequence_base' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:25 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_in_agent_BFM' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:32 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_out_agent_BFM' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:33 [compile index 1470] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:36 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:37 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:38 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:49 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:68 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:71 [compile index 1470] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:71 [compile index 1470] +*** Error: NON_EXISTING_TYPE: Non existing type 'caliptra_top_env_configuration' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:34 [compile index 1475] +*** Error: NON_EXISTING_TYPE: Non existing type 'caliptra_top_environment' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:35 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'caliptra_top_bench_sequence_base' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:39 [compile index 1475] +*** Error: NON_EXISTING_TYPE: Non existing type 'qvip_memory_message_handler' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:46 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_soc_ifc_subenv_qvip_ahb_lite_slave_subenv_ahb_lite_slave_0' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:50 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_soc_ifc_subenv_qvip_apb5_slave_subenv_apb5_master_0' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:51 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_soc_ifc_ctrl_agent_BFM' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:52 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_cptra_ctrl_agent_BFM' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:53 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_soc_ifc_status_agent_BFM' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:54 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_cptra_status_agent_BFM' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:55 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_mbox_sram_agent_BFM' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:56 [compile index 1475] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:59 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:60 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:61 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:62 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:63 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:64 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:65 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:66 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:77 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:96 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:99 [compile index 1475] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:99 [compile index 1475] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_env_configuration' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:34 [compile index 1481] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_environment' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:35 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_bench_sequence_base' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:39 [compile index 1481] +*** Error: NON_EXISTING_TYPE: Non existing type 'qvip_memory_message_handler' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:46 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_qvip_ahb_lite_slave_subenv_ahb_lite_slave_0' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:50 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_rst_agent_BFM' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:51 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_write_agent_BFM' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:52 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_sha512_write_agent_BFM' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:53 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_write_agent_BFM' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:54 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_doe_write_agent_BFM' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:55 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_key_read_agent_BFM' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:56 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_block_read_agent_BFM' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:57 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_mldsa_key_read_agent_BFM' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:58 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_privkey_read_agent_BFM' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:59 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_seed_read_agent_BFM' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:60 [compile index 1481] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:63 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:64 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:65 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:66 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:67 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:68 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:69 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:70 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:71 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:72 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:73 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:74 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:86 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:105 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:108 [compile index 1481] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:108 [compile index 1481] +*** Error: NON_EXISTING_TYPE: Non existing type 'pv_env_configuration' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:34 [compile index 1487] +*** Error: NON_EXISTING_TYPE: Non existing type 'pv_environment' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:35 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_bench_sequence_base' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:39 [compile index 1487] +*** Error: NON_EXISTING_TYPE: Non existing type 'qvip_memory_message_handler' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:46 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_qvip_ahb_lite_slave_subenv_ahb_lite_slave_0' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:50 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_rst_agent_BFM' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:51 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_sha512_write_agent_BFM' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:52 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_sha512_block_read_agent_BFM' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:53 [compile index 1487] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:56 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:57 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:58 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:59 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:60 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:71 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:90 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:93 [compile index 1487] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:93 [compile index 1487] +*** Error: NON_EXISTING_TYPE: Non existing type 'soc_ifc_env_configuration' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:34 [compile index 1497] +*** Error: NON_EXISTING_TYPE: Non existing type 'soc_ifc_environment' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:35 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_bench_sequence_base' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:39 [compile index 1497] +*** Error: NON_EXISTING_TYPE: Non existing type 'qvip_memory_message_handler' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:46 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_qvip_ahb_lite_slave_subenv_ahb_lite_slave_0' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:50 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_test_top_environment_qvip_apb5_slave_subenv_apb5_master_0' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:51 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_ctrl_agent_BFM' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:52 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cptra_ctrl_agent_BFM' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:53 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_status_agent_BFM' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:54 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cptra_status_agent_BFM' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:55 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_agent_BFM' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:56 [compile index 1497] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:59 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:60 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:61 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:62 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:63 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:64 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:65 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:66 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:77 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:96 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:99 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:99 [compile index 1497] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:37 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:38 [compile index 1516] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:74 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:168 [compile index 1516] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:255 [compile index 1516] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:274 [compile index 1516] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:278 [compile index 1516] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1516] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:37 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:38 [compile index 1517] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:74 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:168 [compile index 1517] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:255 [compile index 1517] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:274 [compile index 1517] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:278 [compile index 1517] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1517] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:37 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:38 [compile index 1518] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:74 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:168 [compile index 1518] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:255 [compile index 1518] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:274 [compile index 1518] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:278 [compile index 1518] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1518] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:37 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:38 [compile index 1519] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:74 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:99 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:168 [compile index 1519] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:255 [compile index 1519] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:274 [compile index 1519] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:278 [compile index 1519] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1519] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:37 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:38 [compile index 1520] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:74 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:99 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:168 [compile index 1520] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:255 [compile index 1520] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:274 [compile index 1520] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:278 [compile index 1520] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_class_name' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'option' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:37 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bit_coverpoint' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:38 [compile index 1521] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg_bit' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:74 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'super' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'node' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_coverage' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:99 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fields' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:168 [compile index 1521] +*** Error: NON_EXISTING_TYPE: Non existing type 'get_property' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:255 [compile index 1521] +*** Error: NON_EXISTING_TYPE: Non existing type 'field' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:274 [compile index 1521] +*** Error: NON_EXISTING_TYPE: Non existing type 'elif' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:278 [compile index 1521] +*** Error: NON_EXISTING_TYPE: Non existing type 'add_hdl_path_slice' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1521] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:26 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:27 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:28 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:29 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:31 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_MAX_DEPTH' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:39 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:53 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:53 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:53 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:54 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:55 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:56 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:57 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:58 [compile index 1286] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'MLDSA_Q' of '32-bit' type from '23'd8380417' of '23-bit' type + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_txn.sv:34 [compile index 1286] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:23 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:31 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:46 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:47 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:47 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:47 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_fatal' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:47 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:49 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:49 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:49 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:49 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:57 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:57 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FRONTDOOR' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:57 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:58 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_IS_OK' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:58 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:59 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:59 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:59 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_error' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:59 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:61 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:61 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:61 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:61 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:67 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:69 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:69 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FRONTDOOR' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:69 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:70 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_IS_OK' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:70 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:71 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:71 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:71 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_error' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:71 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:73 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:73 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:73 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:73 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:78 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:78 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FRONTDOOR' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:78 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:79 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_IS_OK' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:79 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:80 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:80 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:80 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_error' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:80 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:82 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:82 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:82 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:82 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:86 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:86 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FRONTDOOR' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:86 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'status' is not declared + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:87 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_IS_OK' is not declared + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:87 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:88 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:88 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:88 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_error' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:88 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:90 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:90 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:90 [compile index 1307] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_info' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:90 [compile index 1307] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:23 [compile index 1241] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1466] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1466] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1466] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1466] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:23 [compile index 1466] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1471] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1471] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:37 [compile index 1471] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1477] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1477] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1477] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1477] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:37 [compile index 1477] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1483] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1483] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1483] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1483] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:37 [compile index 1483] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1493] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1493] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1493] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:34 [compile index 1493] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:37 [compile index 1493] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:31 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_MAX_DEPTH' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:36 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:61 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:61 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:61 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:62 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:63 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:64 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPRINT' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_READONLY' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_is_match' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:65 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ALL_ON' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_CHECK_FIELDS' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COMPARE' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_COPY' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOMPARE' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOCOPY' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NOPACK' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NORECORD' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PACK' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_PRINT' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RADIX' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_RECORD' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_UNPACK' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_radix_enum' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_agent/ntt_txn.sv:66 [compile index 1342] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_MAX_DEPTH' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:31 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:33 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:34 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:35 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:37 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:38 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:39 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:41 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:42 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:43 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:45 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:46 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:84 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:84 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'gs' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:84 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:94 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:94 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:95 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:95 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:98 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:98 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:103 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:103 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:106 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:106 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:115 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:115 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:118 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:118 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:124 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_MEDIUM' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:124 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:130 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_MEDIUM' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:130 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:136 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_MEDIUM' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:136 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:142 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_MEDIUM' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:142 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:151 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:152 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:160 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:160 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:163 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:166 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_DATA_WIDTH' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:173 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_ADDR_WIDTH' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:174 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:176 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:280 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:281 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:317 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:318 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 323 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:323 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:371 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:371 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:376 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:376 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:378 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:378 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:392 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:392 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:399 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:399 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 401 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:401 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 401 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:401 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:419 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:429 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:429 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:440 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:440 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:451 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:451 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not declared + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:462 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not declared + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:462 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:473 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:473 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:481 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:482 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 522 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:522 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:523 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:528 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 608 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:608 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 609 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:609 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'REG_SIZE' is not declared + at line 616 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:616 [compile index 1343] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'zeta' of '23-bit' type from 'zetas_inv[k]' of '24-bit' type + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:502 [compile index 1343] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'zeta' of '23-bit' type from 'zetas_inv[k]' of '24-bit' type + at line 544 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:544 [compile index 1343] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'zeta1[0]' of '23-bit' type from 'zetas_inv[k1[0]]' of '24-bit' type + at line 633 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:633 [compile index 1343] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'zeta1[1]' of '23-bit' type from 'zetas_inv[k1[1]]' of '24-bit' type + at line 634 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:634 [compile index 1343] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'zeta2' of '23-bit' type from 'zetas_inv[k2]' of '24-bit' type + at line 635 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/scoreboard/ntt_sb.sv:635 [compile index 1343] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:43 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:43 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:46 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:46 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:49 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:49 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:52 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:52 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_INFO' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:75 [compile index 1344] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_LOW' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_combined_test.sv:75 [compile index 1344] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'qvip_ahb_lite_slave_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_cfg_t' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:11 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:14 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:20 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_cfg_t' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:21 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:25 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:30 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:34 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:41 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:45 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:47 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ahb_lite_slave_0_bfm_t' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:49 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:49 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:51 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:51 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_enabled' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:51 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'uvm_report_fatal' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:51 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'addr_map_entry_s' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:55 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 1433] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:60 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_mask' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:61 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:62 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:69 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:70 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:71 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:72 [compile index 1433] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_ADDR_WIDTH' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:58 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:82 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:82 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:91 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:91 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:101 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:101 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:120 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_READ' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:120 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:126 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_READ' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:126 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:139 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:139 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:142 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:142 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:155 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:155 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:175 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:175 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:184 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:184 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:194 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_WRITE' is not declared + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:194 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:213 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_READ' is not declared + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:213 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:219 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_READ' is not declared + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:219 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:232 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:232 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_rw_mode_e' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:235 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RW_IDLE' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:235 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:248 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:248 [compile index 1435] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr' of '14-bit' type from 'i' of '32-bit' type + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_mon.sv:59 [compile index 1435] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:17 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:17 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:17 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not a member of 'ntt_txn_i' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:29 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ct' is not a member of 'ntt_txn_i' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:29 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:36 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_fwd_seq.sv:36 [compile index 1436] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETINT' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:17 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETOBJ' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:17 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_SETSTR' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:17 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not a member of 'ntt_txn_i' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:32 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'gs' is not a member of 'ntt_txn_i' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:32 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:40 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:40 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mode_t' is not a member of 'ntt_txn_i' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:45 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'gs' is not a member of 'ntt_txn_i' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:45 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_ERROR' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:52 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:52 [compile index 1437] +*** Warning: NON_STANDARD_NUMERIC_NULL_OPERATION: '!=' operator is undefined for numeric type 'bit' and 'null' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/sequences/ntt_seq/ntt_inv_seq.sv:29 [compile index 1437] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:40 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:40 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:43 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:43 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:46 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:46 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_FATAL' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:49 [compile index 1438] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVM_NONE' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/tests/ntt_base_test.sv:49 [compile index 1438] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:26 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_in_agent_config' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:38 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_out_agent_config' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:39 [compile index 1240] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:26 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_in_agent_config' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:38 [compile index 1467] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_out_agent_config' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:39 [compile index 1467] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:33 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:33 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:33 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:33 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:40 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_soc_ifc_ctrl_agent_config' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:52 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_cptra_ctrl_agent_config' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:53 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_soc_ifc_status_agent_config' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:54 [compile index 1472] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_subenv_cptra_status_agent_config' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:55 [compile index 1472] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:41 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_rst_agent_config' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:54 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_write_agent_config' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:55 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_sha512_write_agent_config' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:56 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_write_agent_config' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:57 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_doe_write_agent_config' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:58 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_key_read_agent_config' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:59 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_hmac_block_read_agent_config' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:60 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_mldsa_key_read_agent_config' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:61 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_privkey_read_agent_config' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:62 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_ecc_seed_read_agent_config' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:63 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:72 [compile index 1478] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:74 [compile index 1478] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:33 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:41 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_rst_agent_config' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:54 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_sha512_write_agent_config' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:55 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pv_sha512_block_read_agent_config' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:56 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:65 [compile index 1484] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:67 [compile index 1484] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:33 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:33 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:33 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:33 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:41 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_ctrl_agent_config' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:54 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cptra_ctrl_agent_config' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:55 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'soc_ifc_status_agent_config' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:56 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cptra_status_agent_config' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:57 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_agent_config' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:58 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:67 [compile index 1494] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:69 [compile index 1494] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:19 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:19 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:19 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:19 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:27 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:48 [compile index 1503] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:50 [compile index 1503] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh:30 [compile index 1349] +*** Error: NON_EXISTING_TYPE: Non existing type 'HMAC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/example_derived_test.svh:30 [compile index 1468] +*** Error: NON_EXISTING_TYPE: Non existing type 'caliptra_top_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/example_derived_test.svh:44 [compile index 1473] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/example_derived_test.svh:44 [compile index 1479] +*** Error: NON_EXISTING_TYPE: Non existing type 'pv_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/example_derived_test.svh:44 [compile index 1485] +*** Error: NON_EXISTING_TYPE: Non existing type 'soc_ifc_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/example_derived_test.svh:44 [compile index 1495] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh:30 [compile index 1504] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh:43 [compile index 1504] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh:30 [compile index 1348] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'end_of_elaboration_phase' is not a member of 'super' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh:36 [compile index 1348] +*** Error: NON_EXISTING_TYPE: Non existing type 'HMAC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh:30 [compile index 1469] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'end_of_elaboration_phase' is not a member of 'super' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh:36 [compile index 1469] +*** Error: NON_EXISTING_TYPE: Non existing type 'caliptra_top_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/register_test.svh:44 [compile index 1474] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh:44 [compile index 1480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'end_of_elaboration_phase' is not a member of 'super' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh:50 [compile index 1480] +*** Error: NON_EXISTING_TYPE: Non existing type 'pv_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/register_test.svh:44 [compile index 1486] +*** Error: NON_EXISTING_TYPE: Non existing type 'soc_ifc_bench_sequence_base' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh:44 [compile index 1496] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'end_of_elaboration_phase' is not a member of 'super' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh:50 [compile index 1496] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/register_test.svh:30 [compile index 1505] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:11 [compile index 1500] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_agent_t' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:15 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:21 [compile index 1500] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_agent_t' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:40 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_mvc_config' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:41 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:41 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 1500] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_ADDR_WIDTH' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_driver.sv:31 [compile index 1509] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MLDSA_MEM_ADDR_WIDTH' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/mem_agent/mem_driver.sv:38 [compile index 1509] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_lite_slave_0_cfg_t' + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:10 [compile index 2007] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:11 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:19 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 2007] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 2007] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:25 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:27 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:29 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:31 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:33 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:35 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:37 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:39 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:41 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:43 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:45 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:47 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:49 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:50 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:51 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:52 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:53 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:54 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:55 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:56 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:57 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:58 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:59 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:60 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:61 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:62 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:63 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:64 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:65 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:66 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:67 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:68 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:69 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:70 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:71 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:72 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:74 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:76 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:78 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:80 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:82 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:84 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:86 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:87 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:88 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:89 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:90 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:91 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:92 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:93 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'slave_id' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:100 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_map' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:103 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:124 [compile index 2007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:61 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:70 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:91 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:108 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:118 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:119 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:120 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:124 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:125 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:126 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:127 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:143 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:160 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:161 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:164 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:165 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:176 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:184 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:212 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:220 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:228 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:247 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 264 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:264 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:280 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:299 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:311 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 340 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:340 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 367 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:367 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:370 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:378 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:379 [compile index 520] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'abi_reg' is not declared + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:394 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[1 : 0]' of '2-bit' type and item expression '0' of '32-bit' type + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:36 [compile index 520] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tid' of '32-bit' type from 'tid' of '1-bit' type + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:36 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[1 : 0]' of '2-bit' type and item expression '1' of '32-bit' type + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:37 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[1 : 0]' of '2-bit' type and item expression '2' of '32-bit' type + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:38 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:46 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:47 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:48 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:49 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:50 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tid' of '1-bit' type from 'tid' of '32-bit' type + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:51 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[11 : 10]' of '2-bit' type and item expression '0' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:118 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[11 : 10]' of '2-bit' type and item expression '1' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:119 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[11 : 10]' of '2-bit' type and item expression '2' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:120 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[6 : 5]' of '2-bit' type and item expression '0' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:124 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[6 : 5]' of '2-bit' type and item expression '1' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:125 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[6 : 5]' of '2-bit' type and item expression '2' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:126 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[6 : 5]' of '2-bit' type and item expression '3' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:127 [compile index 520] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'imm[11 : 1]' of '11-bit' type from 'opcode[31 : 19]' of '13-bit' type + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:226 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:239 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:240 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:241 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '3' of '32-bit' type + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:241 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '4' of '32-bit' type + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:242 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:243 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '6' of '32-bit' type + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:244 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '7' of '32-bit' type + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:245 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:257 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:258 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:259 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '4' of '32-bit' type + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:260 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:261 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:275 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:276 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:277 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:290 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:291 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '3' of '32-bit' type + at line 292 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:292 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '4' of '32-bit' type + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:293 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '6' of '32-bit' type + at line 294 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:294 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '7' of '32-bit' type + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:295 [compile index 520] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'imm' of '32-bit' type from 'opcode[24 : 20]' of '5-bit' type + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:305 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 307 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:307 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:308 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:320 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:321 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 322 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:322 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '3' of '32-bit' type + at line 323 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:323 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '4' of '32-bit' type + at line 324 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:324 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:325 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '6' of '32-bit' type + at line 326 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:326 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '7' of '32-bit' type + at line 327 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:327 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '0' of '32-bit' type + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:331 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:332 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:333 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '3' of '32-bit' type + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:334 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '4' of '32-bit' type + at line 335 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:335 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 336 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:336 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '6' of '32-bit' type + at line 337 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:337 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '7' of '32-bit' type + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:338 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '1' of '32-bit' type + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:352 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '2' of '32-bit' type + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:353 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '3' of '32-bit' type + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:354 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '5' of '32-bit' type + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:355 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '6' of '32-bit' type + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:356 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[14 : 12]' of '3-bit' type and item expression '7' of '32-bit' type + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:357 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b00010' of '32-bit' type + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:378 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b00011' of '32-bit' type + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:379 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b00001' of '32-bit' type + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:380 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b00000' of '32-bit' type + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:381 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b00100' of '32-bit' type + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:382 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b01100' of '32-bit' type + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:383 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b01000' of '32-bit' type + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:384 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b10000' of '32-bit' type + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:385 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b10100' of '32-bit' type + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:386 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b11000' of '32-bit' type + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:387 [compile index 520] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'opcode[31 : 27]' of '5-bit' type and item expression ''b11100' of '32-bit' type + at line 388 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/dasm.svi:388 [compile index 520] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_vip_config' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv:24 [compile index 246] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb_agent' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv:26 [compile index 246] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv:28 [compile index 246] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:11 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:16 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:17 [compile index 247] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3_v1_0_pkg' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:17 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:19 [compile index 247] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3_v1_0_pkg' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:19 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:21 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:23 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:25 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:27 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:29 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:31 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:33 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:34 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:35 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:36 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:37 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:38 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:39 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:40 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:41 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:42 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:44 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:46 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:48 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:50 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_map' is not a member of 'cfg' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:56 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mem_type' is not a member of 'cfg' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:59 [compile index 247] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3_v1_0_pkg' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:59 [compile index 247] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'cfg' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/apb5_master_0_config_policy.svh:72 [compile index 247] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_pkg.sv:39 [compile index 928] +*** Warning: UNDECLARED_MODULE: Module 'mgc_ahb' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv:38 [compile index 1590] +*** Warning: UNDECLARED_MODULE: Module 'ahb_lite_monitor' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv:112 [compile index 1590] +*** Warning: UNDECLARED_MODULE: Module 'apb5_master' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hdl_qvip_apb5_slave.sv:64 [compile index 1570] +*** Error: NON_EXISTING_TYPE: Non existing type 'el2_param_t' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_mem_if.pt' from expression: '{BHT_ADDR_HI:8'h09, BHT_ADDR_LO:6'h02, BHT_ARRAY_DEPTH:15'h0100, BHT_GHR_HASH_1:5'h00, BHT_GHR_SIZE:8'h08, BHT_SIZE:16'h0200, BITMANIP_ZBA:5'h01, BITMANIP_ZBB:5'h01, BITMANIP_ZBC:5'h01, BITMANIP_ZBE:5'h00, BITMANIP_ZBF:5'h00, BITMANIP_ZBP:5'h00, BITMANIP_ZBR:5'h00, BITMANIP_ZBS:5'h01, BTB_ADDR_HI:9'h009, BTB_ADDR_LO:6'h02, BTB_ARRAY_DEPTH:13'h0100, BTB_BTAG_FOLD:5'h00, BTB_BTAG_SIZE:9'h005, BTB_ENABLE:5'h01, BTB_FOLD2_INDEX_HASH:5'h00, BTB_FULLYA:5'h00, BTB_INDEX1_HI:9'h009, BTB_INDEX1_LO:9'h002, BTB_INDEX2_HI:9'h011, BTB_INDEX2_LO:9'h00A, BTB_INDEX3_HI:9'h019, BTB_INDEX3_LO:9'h012, BTB_SIZE:14'h0200, BTB_TOFFSET_SIZE:9'h00C, BUILD_AHB_LITE:5'h01, BUILD_AXI4:4'h0, BUILD_AXI_NATIVE:5'h01, BUS_PRTY_DEFAULT:6'h03, DATA_ACCESS_ADDR0:36'h000000000, DATA_ACCESS_ADDR1:36'h000000000, DATA_ACCESS_ADDR2:36'h000000000, DATA_ACCESS_ADDR3:36'h000000000, DATA_ACCESS_ADDR4:36'h000000000, DATA_ACCESS_ADDR5:36'h000000000, DATA_ACCESS_ADDR6:36'h000000000, DATA_ACCESS_ADDR7:36'h000000000, DATA_ACCESS_ENABLE0:5'h00, DATA_ACCESS_ENABLE1:5'h00, DATA_ACCESS_ENABLE2:5'h00, DATA_ACCESS_ENABLE3:5'h00, DATA_ACCESS_ENABLE4:5'h00, DATA_ACCESS_ENABLE5:5'h00, DATA_ACCESS_ENABLE6:5'h00, DATA_ACCESS_ENABLE7:5'h00, DATA_ACCESS_MASK0:36'h0FFFFFFFF, DATA_ACCESS_MASK1:36'h0FFFFFFFF, DATA_ACCESS_MASK2:36'h0FFFFFFFF, DATA_ACCESS_MASK3:36'h0FFFFFFFF, DATA_ACCESS_MASK4:36'h0FFFFFFFF, DATA_ACCESS_MASK5:36'h0FFFFFFFF, DATA_ACCESS_MASK6:36'h0FFFFFFFF, DATA_ACCESS_MASK7:36'h0FFFFFFFF, DCCM_BANK_BITS:7'h02, DCCM_BITS:9'h012, DCCM_BYTE_WIDTH:7'h04, DCCM_DATA_WIDTH:10'h020, DCCM_ECC_WIDTH:7'h07, DCCM_ENABLE:5'h01, DCCM_FDATA_WIDTH:10'h027, DCCM_INDEX_BITS:8'h0E, DCCM_NUM_BANKS:9'h004, DCCM_REGION:8'h05, DCCM_SADR:36'h050000000, DCCM_SIZE:14'h0100, DCCM_WIDTH_BITS:6'h02, DIV_BIT:7'h04, DIV_NEW:5'h01, DMA_BUF_DEPTH:7'h05, DMA_BUS_ID:9'h001, DMA_BUS_PRTY:6'h02, DMA_BUS_TAG:8'h01, FAST_INTERRUPT_REDIRECT:5'h01, ICACHE_2BANKS:5'h01, ICACHE_BANK_BITS:7'h01, ICACHE_BANK_HI:7'h03, ICACHE_BANK_LO:6'h03, ICACHE_BANK_WIDTH:8'h08, ICACHE_BANKS_WAY:7'h02, ICACHE_BEAT_ADDR_HI:8'h05, ICACHE_BEAT_BITS:8'h03, ICACHE_BYPASS_ENABLE:5'h01, ICACHE_DATA_DEPTH:18'h00200, ICACHE_DATA_INDEX_LO:7'h04, ICACHE_DATA_WIDTH:11'h040, ICACHE_ECC:5'h01, ICACHE_ENABLE:5'h00, ICACHE_FDATA_WIDTH:11'h047, ICACHE_INDEX_HI:9'h00C, ICACHE_LN_SZ:11'h040, ICACHE_NUM_BEATS:8'h08, ICACHE_NUM_BYPASS:8'h02, ICACHE_NUM_BYPASS_WIDTH:8'h02, ICACHE_NUM_WAYS:7'h02, ICACHE_ONLY:5'h00, ICACHE_SCND_LAST:8'h06, ICACHE_SIZE:13'h0010, ICACHE_STATUS_BITS:7'h01, ICACHE_TAG_BYPASS_ENABLE:5'h01, ICACHE_TAG_DEPTH:17'h00080, ICACHE_TAG_INDEX_LO:7'h06, ICACHE_TAG_LO:9'h00D, ICACHE_TAG_NUM_BYPASS:8'h02, ICACHE_TAG_NUM_BYPASS_WIDTH:8'h02, ICACHE_WAYPACK:5'h01, ICCM_BANK_BITS:7'h02, ICCM_BANK_HI:9'h003, ICCM_BANK_INDEX_LO:9'h004, ICCM_BITS:9'h012, ICCM_ECC_WIDTH:7'h07, ICCM_ENABLE:5'h01, ICCM_ICACHE:5'h00, ICCM_INDEX_BITS:8'h0E, ICCM_NUM_BANKS:9'h004, ICCM_ONLY:5'h01, ICCM_REGION:8'h04, ICCM_SADR:36'h040000000, ICCM_SIZE:14'h0100, IFU_BUS_ID:5'h01, IFU_BUS_PRTY:6'h02, IFU_BUS_TAG:8'h03, INST_ACCESS_ADDR0:36'h000000000, INST_ACCESS_ADDR1:36'h000000000, INST_ACCESS_ADDR2:36'h000000000, INST_ACCESS_ADDR3:36'h000000000, INST_ACCESS_ADDR4:36'h000000000, INST_ACCESS_ADDR5:36'h000000000, INST_ACCESS_ADDR6:36'h000000000, INST_ACCESS_ADDR7:36'h000000000, INST_ACCESS_ENABLE0:5'h00, INST_ACCESS_ENABLE1:5'h00, INST_ACCESS_ENABLE2:5'h00, INST_ACCESS_ENABLE3:5'h00, INST_ACCESS_ENABLE4:5'h00, INST_ACCESS_ENABLE5:5'h00, INST_ACCESS_ENABLE6:5'h00, INST_ACCESS_ENABLE7:5'h00, INST_ACCESS_MASK0:36'h0FFFFFFFF, INST_ACCESS_MASK1:36'h0FFFFFFFF, INST_ACCESS_MASK2:36'h0FFFFFFFF, INST_ACCESS_MASK3:36'h0FFFFFFFF, INST_ACCESS_MASK4:36'h0FFFFFFFF, INST_ACCESS_MASK5:36'h0FFFFFFFF, INST_ACCESS_MASK6:36'h0FFFFFFFF, INST_ACCESS_MASK7:36'h0FFFFFFFF, LOAD_TO_USE_PLUS1:5'h00, LSU2DMA:5'h00, LSU_BUS_ID:5'h01, LSU_BUS_PRTY:6'h02, LSU_BUS_TAG:8'h03, LSU_NUM_NBLOAD:9'h004, LSU_NUM_NBLOAD_WIDTH:7'h02, LSU_SB_BITS:9'h012, LSU_STBUF_DEPTH:8'h04, NO_ICCM_NO_ICACHE:5'h00, PIC_2CYCLE:5'h00, PIC_BASE_ADDR:36'h060000000, PIC_BITS:9'h00F, PIC_INT_WORDS:8'h01, PIC_REGION:8'h06, PIC_SIZE:13'h0020, PIC_TOTAL_INT:12'h01F, PIC_TOTAL_INT_PLUS1:13'h0020, PMP_ENTRIES:11'h040, RET_STACK_SIZE:8'h08, SB_BUS_ID:5'h01, SB_BUS_PRTY:6'h02, SB_BUS_TAG:8'h01, SMEPMP:4'h0, TIMER_LEGAL_EN:5'h01, USER_MODE:4'h0} + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_veer_wrapper.pt' from expression: '{BHT_ADDR_HI:8'h09, BHT_ADDR_LO:6'h02, BHT_ARRAY_DEPTH:15'h0100, BHT_GHR_HASH_1:5'h00, BHT_GHR_SIZE:8'h08, BHT_SIZE:16'h0200, BITMANIP_ZBA:5'h01, BITMANIP_ZBB:5'h01, BITMANIP_ZBC:5'h01, BITMANIP_ZBE:5'h00, BITMANIP_ZBF:5'h00, BITMANIP_ZBP:5'h00, BITMANIP_ZBR:5'h00, BITMANIP_ZBS:5'h01, BTB_ADDR_HI:9'h009, BTB_ADDR_LO:6'h02, BTB_ARRAY_DEPTH:13'h0100, BTB_BTAG_FOLD:5'h00, BTB_BTAG_SIZE:9'h005, BTB_ENABLE:5'h01, BTB_FOLD2_INDEX_HASH:5'h00, BTB_FULLYA:5'h00, BTB_INDEX1_HI:9'h009, BTB_INDEX1_LO:9'h002, BTB_INDEX2_HI:9'h011, BTB_INDEX2_LO:9'h00A, BTB_INDEX3_HI:9'h019, BTB_INDEX3_LO:9'h012, BTB_SIZE:14'h0200, BTB_TOFFSET_SIZE:9'h00C, BUILD_AHB_LITE:5'h01, BUILD_AXI4:4'h0, BUILD_AXI_NATIVE:5'h01, BUS_PRTY_DEFAULT:6'h03, DATA_ACCESS_ADDR0:36'h000000000, DATA_ACCESS_ADDR1:36'h000000000, DATA_ACCESS_ADDR2:36'h000000000, DATA_ACCESS_ADDR3:36'h000000000, DATA_ACCESS_ADDR4:36'h000000000, DATA_ACCESS_ADDR5:36'h000000000, DATA_ACCESS_ADDR6:36'h000000000, DATA_ACCESS_ADDR7:36'h000000000, DATA_ACCESS_ENABLE0:5'h00, DATA_ACCESS_ENABLE1:5'h00, DATA_ACCESS_ENABLE2:5'h00, DATA_ACCESS_ENABLE3:5'h00, DATA_ACCESS_ENABLE4:5'h00, DATA_ACCESS_ENABLE5:5'h00, DATA_ACCESS_ENABLE6:5'h00, DATA_ACCESS_ENABLE7:5'h00, DATA_ACCESS_MASK0:36'h0FFFFFFFF, DATA_ACCESS_MASK1:36'h0FFFFFFFF, DATA_ACCESS_MASK2:36'h0FFFFFFFF, DATA_ACCESS_MASK3:36'h0FFFFFFFF, DATA_ACCESS_MASK4:36'h0FFFFFFFF, DATA_ACCESS_MASK5:36'h0FFFFFFFF, DATA_ACCESS_MASK6:36'h0FFFFFFFF, DATA_ACCESS_MASK7:36'h0FFFFFFFF, DCCM_BANK_BITS:7'h02, DCCM_BITS:9'h012, DCCM_BYTE_WIDTH:7'h04, DCCM_DATA_WIDTH:10'h020, DCCM_ECC_WIDTH:7'h07, DCCM_ENABLE:5'h01, DCCM_FDATA_WIDTH:10'h027, DCCM_INDEX_BITS:8'h0E, DCCM_NUM_BANKS:9'h004, DCCM_REGION:8'h05, DCCM_SADR:36'h050000000, DCCM_SIZE:14'h0100, DCCM_WIDTH_BITS:6'h02, DIV_BIT:7'h04, DIV_NEW:5'h01, DMA_BUF_DEPTH:7'h05, DMA_BUS_ID:9'h001, DMA_BUS_PRTY:6'h02, DMA_BUS_TAG:8'h01, FAST_INTERRUPT_REDIRECT:5'h01, ICACHE_2BANKS:5'h01, ICACHE_BANK_BITS:7'h01, ICACHE_BANK_HI:7'h03, ICACHE_BANK_LO:6'h03, ICACHE_BANK_WIDTH:8'h08, ICACHE_BANKS_WAY:7'h02, ICACHE_BEAT_ADDR_HI:8'h05, ICACHE_BEAT_BITS:8'h03, ICACHE_BYPASS_ENABLE:5'h01, ICACHE_DATA_DEPTH:18'h00200, ICACHE_DATA_INDEX_LO:7'h04, ICACHE_DATA_WIDTH:11'h040, ICACHE_ECC:5'h01, ICACHE_ENABLE:5'h00, ICACHE_FDATA_WIDTH:11'h047, ICACHE_INDEX_HI:9'h00C, ICACHE_LN_SZ:11'h040, ICACHE_NUM_BEATS:8'h08, ICACHE_NUM_BYPASS:8'h02, ICACHE_NUM_BYPASS_WIDTH:8'h02, ICACHE_NUM_WAYS:7'h02, ICACHE_ONLY:5'h00, ICACHE_SCND_LAST:8'h06, ICACHE_SIZE:13'h0010, ICACHE_STATUS_BITS:7'h01, ICACHE_TAG_BYPASS_ENABLE:5'h01, ICACHE_TAG_DEPTH:17'h00080, ICACHE_TAG_INDEX_LO:7'h06, ICACHE_TAG_LO:9'h00D, ICACHE_TAG_NUM_BYPASS:8'h02, ICACHE_TAG_NUM_BYPASS_WIDTH:8'h02, ICACHE_WAYPACK:5'h01, ICCM_BANK_BITS:7'h02, ICCM_BANK_HI:9'h003, ICCM_BANK_INDEX_LO:9'h004, ICCM_BITS:9'h012, ICCM_ECC_WIDTH:7'h07, ICCM_ENABLE:5'h01, ICCM_ICACHE:5'h00, ICCM_INDEX_BITS:8'h0E, ICCM_NUM_BANKS:9'h004, ICCM_ONLY:5'h01, ICCM_REGION:8'h04, ICCM_SADR:36'h040000000, ICCM_SIZE:14'h0100, IFU_BUS_ID:5'h01, IFU_BUS_PRTY:6'h02, IFU_BUS_TAG:8'h03, INST_ACCESS_ADDR0:36'h000000000, INST_ACCESS_ADDR1:36'h000000000, INST_ACCESS_ADDR2:36'h000000000, INST_ACCESS_ADDR3:36'h000000000, INST_ACCESS_ADDR4:36'h000000000, INST_ACCESS_ADDR5:36'h000000000, INST_ACCESS_ADDR6:36'h000000000, INST_ACCESS_ADDR7:36'h000000000, INST_ACCESS_ENABLE0:5'h00, INST_ACCESS_ENABLE1:5'h00, INST_ACCESS_ENABLE2:5'h00, INST_ACCESS_ENABLE3:5'h00, INST_ACCESS_ENABLE4:5'h00, INST_ACCESS_ENABLE5:5'h00, INST_ACCESS_ENABLE6:5'h00, INST_ACCESS_ENABLE7:5'h00, INST_ACCESS_MASK0:36'h0FFFFFFFF, INST_ACCESS_MASK1:36'h0FFFFFFFF, INST_ACCESS_MASK2:36'h0FFFFFFFF, INST_ACCESS_MASK3:36'h0FFFFFFFF, INST_ACCESS_MASK4:36'h0FFFFFFFF, INST_ACCESS_MASK5:36'h0FFFFFFFF, INST_ACCESS_MASK6:36'h0FFFFFFFF, INST_ACCESS_MASK7:36'h0FFFFFFFF, LOAD_TO_USE_PLUS1:5'h00, LSU2DMA:5'h00, LSU_BUS_ID:5'h01, LSU_BUS_PRTY:6'h02, LSU_BUS_TAG:8'h03, LSU_NUM_NBLOAD:9'h004, LSU_NUM_NBLOAD_WIDTH:7'h02, LSU_SB_BITS:9'h012, LSU_STBUF_DEPTH:8'h04, NO_ICCM_NO_ICACHE:5'h00, PIC_2CYCLE:5'h00, PIC_BASE_ADDR:36'h060000000, PIC_BITS:9'h00F, PIC_INT_WORDS:8'h01, PIC_REGION:8'h06, PIC_SIZE:13'h0020, PIC_TOTAL_INT:12'h01F, PIC_TOTAL_INT_PLUS1:13'h0020, PMP_ENTRIES:11'h040, RET_STACK_SIZE:8'h08, SB_BUS_ID:5'h01, SB_BUS_PRTY:6'h02, SB_BUS_TAG:8'h01, SMEPMP:4'h0, TIMER_LEGAL_EN:5'h01, USER_MODE:4'h0} + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_ifu_compress_ctl.pt' from expression: '{BHT_ADDR_HI:8'h09, BHT_ADDR_LO:6'h02, BHT_ARRAY_DEPTH:15'h0100, BHT_GHR_HASH_1:5'h00, BHT_GHR_SIZE:8'h08, BHT_SIZE:16'h0200, BITMANIP_ZBA:5'h01, BITMANIP_ZBB:5'h01, BITMANIP_ZBC:5'h01, BITMANIP_ZBE:5'h00, BITMANIP_ZBF:5'h00, BITMANIP_ZBP:5'h00, BITMANIP_ZBR:5'h00, BITMANIP_ZBS:5'h01, BTB_ADDR_HI:9'h009, BTB_ADDR_LO:6'h02, BTB_ARRAY_DEPTH:13'h0100, BTB_BTAG_FOLD:5'h00, BTB_BTAG_SIZE:9'h005, BTB_ENABLE:5'h01, BTB_FOLD2_INDEX_HASH:5'h00, BTB_FULLYA:5'h00, BTB_INDEX1_HI:9'h009, BTB_INDEX1_LO:9'h002, BTB_INDEX2_HI:9'h011, BTB_INDEX2_LO:9'h00A, BTB_INDEX3_HI:9'h019, BTB_INDEX3_LO:9'h012, BTB_SIZE:14'h0200, BTB_TOFFSET_SIZE:9'h00C, BUILD_AHB_LITE:5'h01, BUILD_AXI4:4'h0, BUILD_AXI_NATIVE:5'h01, BUS_PRTY_DEFAULT:6'h03, DATA_ACCESS_ADDR0:36'h000000000, DATA_ACCESS_ADDR1:36'h000000000, DATA_ACCESS_ADDR2:36'h000000000, DATA_ACCESS_ADDR3:36'h000000000, DATA_ACCESS_ADDR4:36'h000000000, DATA_ACCESS_ADDR5:36'h000000000, DATA_ACCESS_ADDR6:36'h000000000, DATA_ACCESS_ADDR7:36'h000000000, DATA_ACCESS_ENABLE0:5'h00, DATA_ACCESS_ENABLE1:5'h00, DATA_ACCESS_ENABLE2:5'h00, DATA_ACCESS_ENABLE3:5'h00, DATA_ACCESS_ENABLE4:5'h00, DATA_ACCESS_ENABLE5:5'h00, DATA_ACCESS_ENABLE6:5'h00, DATA_ACCESS_ENABLE7:5'h00, DATA_ACCESS_MASK0:36'h0FFFFFFFF, DATA_ACCESS_MASK1:36'h0FFFFFFFF, DATA_ACCESS_MASK2:36'h0FFFFFFFF, DATA_ACCESS_MASK3:36'h0FFFFFFFF, DATA_ACCESS_MASK4:36'h0FFFFFFFF, DATA_ACCESS_MASK5:36'h0FFFFFFFF, DATA_ACCESS_MASK6:36'h0FFFFFFFF, DATA_ACCESS_MASK7:36'h0FFFFFFFF, DCCM_BANK_BITS:7'h02, DCCM_BITS:9'h012, DCCM_BYTE_WIDTH:7'h04, DCCM_DATA_WIDTH:10'h020, DCCM_ECC_WIDTH:7'h07, DCCM_ENABLE:5'h01, DCCM_FDATA_WIDTH:10'h027, DCCM_INDEX_BITS:8'h0E, DCCM_NUM_BANKS:9'h004, DCCM_REGION:8'h05, DCCM_SADR:36'h050000000, DCCM_SIZE:14'h0100, DCCM_WIDTH_BITS:6'h02, DIV_BIT:7'h04, DIV_NEW:5'h01, DMA_BUF_DEPTH:7'h05, DMA_BUS_ID:9'h001, DMA_BUS_PRTY:6'h02, DMA_BUS_TAG:8'h01, FAST_INTERRUPT_REDIRECT:5'h01, ICACHE_2BANKS:5'h01, ICACHE_BANK_BITS:7'h01, ICACHE_BANK_HI:7'h03, ICACHE_BANK_LO:6'h03, ICACHE_BANK_WIDTH:8'h08, ICACHE_BANKS_WAY:7'h02, ICACHE_BEAT_ADDR_HI:8'h05, ICACHE_BEAT_BITS:8'h03, ICACHE_BYPASS_ENABLE:5'h01, ICACHE_DATA_DEPTH:18'h00200, ICACHE_DATA_INDEX_LO:7'h04, ICACHE_DATA_WIDTH:11'h040, ICACHE_ECC:5'h01, ICACHE_ENABLE:5'h00, ICACHE_FDATA_WIDTH:11'h047, ICACHE_INDEX_HI:9'h00C, ICACHE_LN_SZ:11'h040, ICACHE_NUM_BEATS:8'h08, ICACHE_NUM_BYPASS:8'h02, ICACHE_NUM_BYPASS_WIDTH:8'h02, ICACHE_NUM_WAYS:7'h02, ICACHE_ONLY:5'h00, ICACHE_SCND_LAST:8'h06, ICACHE_SIZE:13'h0010, ICACHE_STATUS_BITS:7'h01, ICACHE_TAG_BYPASS_ENABLE:5'h01, ICACHE_TAG_DEPTH:17'h00080, ICACHE_TAG_INDEX_LO:7'h06, ICACHE_TAG_LO:9'h00D, ICACHE_TAG_NUM_BYPASS:8'h02, ICACHE_TAG_NUM_BYPASS_WIDTH:8'h02, ICACHE_WAYPACK:5'h01, ICCM_BANK_BITS:7'h02, ICCM_BANK_HI:9'h003, ICCM_BANK_INDEX_LO:9'h004, ICCM_BITS:9'h012, ICCM_ECC_WIDTH:7'h07, ICCM_ENABLE:5'h01, ICCM_ICACHE:5'h00, ICCM_INDEX_BITS:8'h0E, ICCM_NUM_BANKS:9'h004, ICCM_ONLY:5'h01, ICCM_REGION:8'h04, ICCM_SADR:36'h040000000, ICCM_SIZE:14'h0100, IFU_BUS_ID:5'h01, IFU_BUS_PRTY:6'h02, IFU_BUS_TAG:8'h03, INST_ACCESS_ADDR0:36'h000000000, INST_ACCESS_ADDR1:36'h000000000, INST_ACCESS_ADDR2:36'h000000000, INST_ACCESS_ADDR3:36'h000000000, INST_ACCESS_ADDR4:36'h000000000, INST_ACCESS_ADDR5:36'h000000000, INST_ACCESS_ADDR6:36'h000000000, INST_ACCESS_ADDR7:36'h000000000, INST_ACCESS_ENABLE0:5'h00, INST_ACCESS_ENABLE1:5'h00, INST_ACCESS_ENABLE2:5'h00, INST_ACCESS_ENABLE3:5'h00, INST_ACCESS_ENABLE4:5'h00, INST_ACCESS_ENABLE5:5'h00, INST_ACCESS_ENABLE6:5'h00, INST_ACCESS_ENABLE7:5'h00, INST_ACCESS_MASK0:36'h0FFFFFFFF, INST_ACCESS_MASK1:36'h0FFFFFFFF, INST_ACCESS_MASK2:36'h0FFFFFFFF, INST_ACCESS_MASK3:36'h0FFFFFFFF, INST_ACCESS_MASK4:36'h0FFFFFFFF, INST_ACCESS_MASK5:36'h0FFFFFFFF, INST_ACCESS_MASK6:36'h0FFFFFFFF, INST_ACCESS_MASK7:36'h0FFFFFFFF, LOAD_TO_USE_PLUS1:5'h00, LSU2DMA:5'h00, LSU_BUS_ID:5'h01, LSU_BUS_PRTY:6'h02, LSU_BUS_TAG:8'h03, LSU_NUM_NBLOAD:9'h004, LSU_NUM_NBLOAD_WIDTH:7'h02, LSU_SB_BITS:9'h012, LSU_STBUF_DEPTH:8'h04, NO_ICCM_NO_ICACHE:5'h00, PIC_2CYCLE:5'h00, PIC_BASE_ADDR:36'h060000000, PIC_BITS:9'h00F, PIC_INT_WORDS:8'h01, PIC_REGION:8'h06, PIC_SIZE:13'h0020, PIC_TOTAL_INT:12'h01F, PIC_TOTAL_INT_PLUS1:13'h0020, PMP_ENTRIES:11'h040, RET_STACK_SIZE:8'h08, SB_BUS_ID:5'h01, SB_BUS_PRTY:6'h02, SB_BUS_TAG:8'h01, SMEPMP:4'h0, TIMER_LEGAL_EN:5'h01, USER_MODE:4'h0} + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_lsu_addrcheck.pt' from expression: '{BHT_ADDR_HI:8'h09, BHT_ADDR_LO:6'h02, BHT_ARRAY_DEPTH:15'h0100, BHT_GHR_HASH_1:5'h00, BHT_GHR_SIZE:8'h08, BHT_SIZE:16'h0200, BITMANIP_ZBA:5'h01, BITMANIP_ZBB:5'h01, BITMANIP_ZBC:5'h01, BITMANIP_ZBE:5'h00, BITMANIP_ZBF:5'h00, BITMANIP_ZBP:5'h00, BITMANIP_ZBR:5'h00, BITMANIP_ZBS:5'h01, BTB_ADDR_HI:9'h009, BTB_ADDR_LO:6'h02, BTB_ARRAY_DEPTH:13'h0100, BTB_BTAG_FOLD:5'h00, BTB_BTAG_SIZE:9'h005, BTB_ENABLE:5'h01, BTB_FOLD2_INDEX_HASH:5'h00, BTB_FULLYA:5'h00, BTB_INDEX1_HI:9'h009, BTB_INDEX1_LO:9'h002, BTB_INDEX2_HI:9'h011, BTB_INDEX2_LO:9'h00A, BTB_INDEX3_HI:9'h019, BTB_INDEX3_LO:9'h012, BTB_SIZE:14'h0200, BTB_TOFFSET_SIZE:9'h00C, BUILD_AHB_LITE:5'h01, BUILD_AXI4:4'h0, BUILD_AXI_NATIVE:5'h01, BUS_PRTY_DEFAULT:6'h03, DATA_ACCESS_ADDR0:36'h000000000, DATA_ACCESS_ADDR1:36'h000000000, DATA_ACCESS_ADDR2:36'h000000000, DATA_ACCESS_ADDR3:36'h000000000, DATA_ACCESS_ADDR4:36'h000000000, DATA_ACCESS_ADDR5:36'h000000000, DATA_ACCESS_ADDR6:36'h000000000, DATA_ACCESS_ADDR7:36'h000000000, DATA_ACCESS_ENABLE0:5'h00, DATA_ACCESS_ENABLE1:5'h00, DATA_ACCESS_ENABLE2:5'h00, DATA_ACCESS_ENABLE3:5'h00, DATA_ACCESS_ENABLE4:5'h00, DATA_ACCESS_ENABLE5:5'h00, DATA_ACCESS_ENABLE6:5'h00, DATA_ACCESS_ENABLE7:5'h00, DATA_ACCESS_MASK0:36'h0FFFFFFFF, DATA_ACCESS_MASK1:36'h0FFFFFFFF, DATA_ACCESS_MASK2:36'h0FFFFFFFF, DATA_ACCESS_MASK3:36'h0FFFFFFFF, DATA_ACCESS_MASK4:36'h0FFFFFFFF, DATA_ACCESS_MASK5:36'h0FFFFFFFF, DATA_ACCESS_MASK6:36'h0FFFFFFFF, DATA_ACCESS_MASK7:36'h0FFFFFFFF, DCCM_BANK_BITS:7'h02, DCCM_BITS:9'h012, DCCM_BYTE_WIDTH:7'h04, DCCM_DATA_WIDTH:10'h020, DCCM_ECC_WIDTH:7'h07, DCCM_ENABLE:5'h01, DCCM_FDATA_WIDTH:10'h027, DCCM_INDEX_BITS:8'h0E, DCCM_NUM_BANKS:9'h004, DCCM_REGION:8'h05, DCCM_SADR:36'h050000000, DCCM_SIZE:14'h0100, DCCM_WIDTH_BITS:6'h02, DIV_BIT:7'h04, DIV_NEW:5'h01, DMA_BUF_DEPTH:7'h05, DMA_BUS_ID:9'h001, DMA_BUS_PRTY:6'h02, DMA_BUS_TAG:8'h01, FAST_INTERRUPT_REDIRECT:5'h01, ICACHE_2BANKS:5'h01, ICACHE_BANK_BITS:7'h01, ICACHE_BANK_HI:7'h03, ICACHE_BANK_LO:6'h03, ICACHE_BANK_WIDTH:8'h08, ICACHE_BANKS_WAY:7'h02, ICACHE_BEAT_ADDR_HI:8'h05, ICACHE_BEAT_BITS:8'h03, ICACHE_BYPASS_ENABLE:5'h01, ICACHE_DATA_DEPTH:18'h00200, ICACHE_DATA_INDEX_LO:7'h04, ICACHE_DATA_WIDTH:11'h040, ICACHE_ECC:5'h01, ICACHE_ENABLE:5'h00, ICACHE_FDATA_WIDTH:11'h047, ICACHE_INDEX_HI:9'h00C, ICACHE_LN_SZ:11'h040, ICACHE_NUM_BEATS:8'h08, ICACHE_NUM_BYPASS:8'h02, ICACHE_NUM_BYPASS_WIDTH:8'h02, ICACHE_NUM_WAYS:7'h02, ICACHE_ONLY:5'h00, ICACHE_SCND_LAST:8'h06, ICACHE_SIZE:13'h0010, ICACHE_STATUS_BITS:7'h01, ICACHE_TAG_BYPASS_ENABLE:5'h01, ICACHE_TAG_DEPTH:17'h00080, ICACHE_TAG_INDEX_LO:7'h06, ICACHE_TAG_LO:9'h00D, ICACHE_TAG_NUM_BYPASS:8'h02, ICACHE_TAG_NUM_BYPASS_WIDTH:8'h02, ICACHE_WAYPACK:5'h01, ICCM_BANK_BITS:7'h02, ICCM_BANK_HI:9'h003, ICCM_BANK_INDEX_LO:9'h004, ICCM_BITS:9'h012, ICCM_ECC_WIDTH:7'h07, ICCM_ENABLE:5'h01, ICCM_ICACHE:5'h00, ICCM_INDEX_BITS:8'h0E, ICCM_NUM_BANKS:9'h004, ICCM_ONLY:5'h01, ICCM_REGION:8'h04, ICCM_SADR:36'h040000000, ICCM_SIZE:14'h0100, IFU_BUS_ID:5'h01, IFU_BUS_PRTY:6'h02, IFU_BUS_TAG:8'h03, INST_ACCESS_ADDR0:36'h000000000, INST_ACCESS_ADDR1:36'h000000000, INST_ACCESS_ADDR2:36'h000000000, INST_ACCESS_ADDR3:36'h000000000, INST_ACCESS_ADDR4:36'h000000000, INST_ACCESS_ADDR5:36'h000000000, INST_ACCESS_ADDR6:36'h000000000, INST_ACCESS_ADDR7:36'h000000000, INST_ACCESS_ENABLE0:5'h00, INST_ACCESS_ENABLE1:5'h00, INST_ACCESS_ENABLE2:5'h00, INST_ACCESS_ENABLE3:5'h00, INST_ACCESS_ENABLE4:5'h00, INST_ACCESS_ENABLE5:5'h00, INST_ACCESS_ENABLE6:5'h00, INST_ACCESS_ENABLE7:5'h00, INST_ACCESS_MASK0:36'h0FFFFFFFF, INST_ACCESS_MASK1:36'h0FFFFFFFF, INST_ACCESS_MASK2:36'h0FFFFFFFF, INST_ACCESS_MASK3:36'h0FFFFFFFF, INST_ACCESS_MASK4:36'h0FFFFFFFF, INST_ACCESS_MASK5:36'h0FFFFFFFF, INST_ACCESS_MASK6:36'h0FFFFFFFF, INST_ACCESS_MASK7:36'h0FFFFFFFF, LOAD_TO_USE_PLUS1:5'h00, LSU2DMA:5'h00, LSU_BUS_ID:5'h01, LSU_BUS_PRTY:6'h02, LSU_BUS_TAG:8'h03, LSU_NUM_NBLOAD:9'h004, LSU_NUM_NBLOAD_WIDTH:7'h02, LSU_SB_BITS:9'h012, LSU_STBUF_DEPTH:8'h04, NO_ICCM_NO_ICACHE:5'h00, PIC_2CYCLE:5'h00, PIC_BASE_ADDR:36'h060000000, PIC_BITS:9'h00F, PIC_INT_WORDS:8'h01, PIC_REGION:8'h06, PIC_SIZE:13'h0020, PIC_TOTAL_INT:12'h01F, PIC_TOTAL_INT_PLUS1:13'h0020, PMP_ENTRIES:11'h040, RET_STACK_SIZE:8'h08, SB_BUS_ID:5'h01, SB_BUS_PRTY:6'h02, SB_BUS_TAG:8'h01, SMEPMP:4'h0, TIMER_LEGAL_EN:5'h01, USER_MODE:4'h0} + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'caliptra_veer_sram_export.pt' from expression: '{BHT_ADDR_HI:8'h09, BHT_ADDR_LO:6'h02, BHT_ARRAY_DEPTH:15'h0100, BHT_GHR_HASH_1:5'h00, BHT_GHR_SIZE:8'h08, BHT_SIZE:16'h0200, BITMANIP_ZBA:5'h01, BITMANIP_ZBB:5'h01, BITMANIP_ZBC:5'h01, BITMANIP_ZBE:5'h00, BITMANIP_ZBF:5'h00, BITMANIP_ZBP:5'h00, BITMANIP_ZBR:5'h00, BITMANIP_ZBS:5'h01, BTB_ADDR_HI:9'h009, BTB_ADDR_LO:6'h02, BTB_ARRAY_DEPTH:13'h0100, BTB_BTAG_FOLD:5'h00, BTB_BTAG_SIZE:9'h005, BTB_ENABLE:5'h01, BTB_FOLD2_INDEX_HASH:5'h00, BTB_FULLYA:5'h00, BTB_INDEX1_HI:9'h009, BTB_INDEX1_LO:9'h002, BTB_INDEX2_HI:9'h011, BTB_INDEX2_LO:9'h00A, BTB_INDEX3_HI:9'h019, BTB_INDEX3_LO:9'h012, BTB_SIZE:14'h0200, BTB_TOFFSET_SIZE:9'h00C, BUILD_AHB_LITE:5'h01, BUILD_AXI4:4'h0, BUILD_AXI_NATIVE:5'h01, BUS_PRTY_DEFAULT:6'h03, DATA_ACCESS_ADDR0:36'h000000000, DATA_ACCESS_ADDR1:36'h000000000, DATA_ACCESS_ADDR2:36'h000000000, DATA_ACCESS_ADDR3:36'h000000000, DATA_ACCESS_ADDR4:36'h000000000, DATA_ACCESS_ADDR5:36'h000000000, DATA_ACCESS_ADDR6:36'h000000000, DATA_ACCESS_ADDR7:36'h000000000, DATA_ACCESS_ENABLE0:5'h00, DATA_ACCESS_ENABLE1:5'h00, DATA_ACCESS_ENABLE2:5'h00, DATA_ACCESS_ENABLE3:5'h00, DATA_ACCESS_ENABLE4:5'h00, DATA_ACCESS_ENABLE5:5'h00, DATA_ACCESS_ENABLE6:5'h00, DATA_ACCESS_ENABLE7:5'h00, DATA_ACCESS_MASK0:36'h0FFFFFFFF, DATA_ACCESS_MASK1:36'h0FFFFFFFF, DATA_ACCESS_MASK2:36'h0FFFFFFFF, DATA_ACCESS_MASK3:36'h0FFFFFFFF, DATA_ACCESS_MASK4:36'h0FFFFFFFF, DATA_ACCESS_MASK5:36'h0FFFFFFFF, DATA_ACCESS_MASK6:36'h0FFFFFFFF, DATA_ACCESS_MASK7:36'h0FFFFFFFF, DCCM_BANK_BITS:7'h02, DCCM_BITS:9'h012, DCCM_BYTE_WIDTH:7'h04, DCCM_DATA_WIDTH:10'h020, DCCM_ECC_WIDTH:7'h07, DCCM_ENABLE:5'h01, DCCM_FDATA_WIDTH:10'h027, DCCM_INDEX_BITS:8'h0E, DCCM_NUM_BANKS:9'h004, DCCM_REGION:8'h05, DCCM_SADR:36'h050000000, DCCM_SIZE:14'h0100, DCCM_WIDTH_BITS:6'h02, DIV_BIT:7'h04, DIV_NEW:5'h01, DMA_BUF_DEPTH:7'h05, DMA_BUS_ID:9'h001, DMA_BUS_PRTY:6'h02, DMA_BUS_TAG:8'h01, FAST_INTERRUPT_REDIRECT:5'h01, ICACHE_2BANKS:5'h01, ICACHE_BANK_BITS:7'h01, ICACHE_BANK_HI:7'h03, ICACHE_BANK_LO:6'h03, ICACHE_BANK_WIDTH:8'h08, ICACHE_BANKS_WAY:7'h02, ICACHE_BEAT_ADDR_HI:8'h05, ICACHE_BEAT_BITS:8'h03, ICACHE_BYPASS_ENABLE:5'h01, ICACHE_DATA_DEPTH:18'h00200, ICACHE_DATA_INDEX_LO:7'h04, ICACHE_DATA_WIDTH:11'h040, ICACHE_ECC:5'h01, ICACHE_ENABLE:5'h00, ICACHE_FDATA_WIDTH:11'h047, ICACHE_INDEX_HI:9'h00C, ICACHE_LN_SZ:11'h040, ICACHE_NUM_BEATS:8'h08, ICACHE_NUM_BYPASS:8'h02, ICACHE_NUM_BYPASS_WIDTH:8'h02, ICACHE_NUM_WAYS:7'h02, ICACHE_ONLY:5'h00, ICACHE_SCND_LAST:8'h06, ICACHE_SIZE:13'h0010, ICACHE_STATUS_BITS:7'h01, ICACHE_TAG_BYPASS_ENABLE:5'h01, ICACHE_TAG_DEPTH:17'h00080, ICACHE_TAG_INDEX_LO:7'h06, ICACHE_TAG_LO:9'h00D, ICACHE_TAG_NUM_BYPASS:8'h02, ICACHE_TAG_NUM_BYPASS_WIDTH:8'h02, ICACHE_WAYPACK:5'h01, ICCM_BANK_BITS:7'h02, ICCM_BANK_HI:9'h003, ICCM_BANK_INDEX_LO:9'h004, ICCM_BITS:9'h012, ICCM_ECC_WIDTH:7'h07, ICCM_ENABLE:5'h01, ICCM_ICACHE:5'h00, ICCM_INDEX_BITS:8'h0E, ICCM_NUM_BANKS:9'h004, ICCM_ONLY:5'h01, ICCM_REGION:8'h04, ICCM_SADR:36'h040000000, ICCM_SIZE:14'h0100, IFU_BUS_ID:5'h01, IFU_BUS_PRTY:6'h02, IFU_BUS_TAG:8'h03, INST_ACCESS_ADDR0:36'h000000000, INST_ACCESS_ADDR1:36'h000000000, INST_ACCESS_ADDR2:36'h000000000, INST_ACCESS_ADDR3:36'h000000000, INST_ACCESS_ADDR4:36'h000000000, INST_ACCESS_ADDR5:36'h000000000, INST_ACCESS_ADDR6:36'h000000000, INST_ACCESS_ADDR7:36'h000000000, INST_ACCESS_ENABLE0:5'h00, INST_ACCESS_ENABLE1:5'h00, INST_ACCESS_ENABLE2:5'h00, INST_ACCESS_ENABLE3:5'h00, INST_ACCESS_ENABLE4:5'h00, INST_ACCESS_ENABLE5:5'h00, INST_ACCESS_ENABLE6:5'h00, INST_ACCESS_ENABLE7:5'h00, INST_ACCESS_MASK0:36'h0FFFFFFFF, INST_ACCESS_MASK1:36'h0FFFFFFFF, INST_ACCESS_MASK2:36'h0FFFFFFFF, INST_ACCESS_MASK3:36'h0FFFFFFFF, INST_ACCESS_MASK4:36'h0FFFFFFFF, INST_ACCESS_MASK5:36'h0FFFFFFFF, INST_ACCESS_MASK6:36'h0FFFFFFFF, INST_ACCESS_MASK7:36'h0FFFFFFFF, LOAD_TO_USE_PLUS1:5'h00, LSU2DMA:5'h00, LSU_BUS_ID:5'h01, LSU_BUS_PRTY:6'h02, LSU_BUS_TAG:8'h03, LSU_NUM_NBLOAD:9'h004, LSU_NUM_NBLOAD_WIDTH:7'h02, LSU_SB_BITS:9'h012, LSU_STBUF_DEPTH:8'h04, NO_ICCM_NO_ICACHE:5'h00, PIC_2CYCLE:5'h00, PIC_BASE_ADDR:36'h060000000, PIC_BITS:9'h00F, PIC_INT_WORDS:8'h01, PIC_REGION:8'h06, PIC_SIZE:13'h0020, PIC_TOTAL_INT:12'h01F, PIC_TOTAL_INT_PLUS1:13'h0020, PMP_ENTRIES:11'h040, RET_STACK_SIZE:8'h08, SB_BUS_ID:5'h01, SB_BUS_PRTY:6'h02, SB_BUS_TAG:8'h01, SMEPMP:4'h0, TIMER_LEGAL_EN:5'h01, USER_MODE:4'h0} + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Error: NON_EXISTING_PORT: Non existing port 'PADDR' of module 'caliptra_top' + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:202 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PPROT' of module 'caliptra_top' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:203 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PAUSER' of module 'caliptra_top' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:204 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PENABLE' of module 'caliptra_top' + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:205 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PRDATA' of module 'caliptra_top' + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:206 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PREADY' of module 'caliptra_top' + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:207 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PSEL' of module 'caliptra_top' + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:208 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PSLVERR' of module 'caliptra_top' + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:209 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PWDATA' of module 'caliptra_top' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:210 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'PWRITE' of module 'caliptra_top' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:211 [compile index 283] +*** Error: NON_EXISTING_PORT: Non existing port 'ready_for_fw_push' of module 'caliptra_top' + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:216 [compile index 283] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_req_t' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:113 [compile index 283] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_resp_t' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:114 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_AND_ECC_W' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:118 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_AND_ECC_W' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:119 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cs' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:219 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:220 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:221 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:222 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp' (type 'mbox_sram_resp_t' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:223 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:380 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:381 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:382 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:383 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:384 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:385 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:386 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_VIRTUAL_INTERFACES' is not declared + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:387 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'soc_ifc_subenv_soc_ifc_ctrl_agent_bus' of interface 'soc_ifc_ctrl_if' is missing port connection to inout 'cptra_pwrgood, cptra_rst_b, cptra_obf_key, security_state, ...' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:143 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'soc_ifc_subenv_cptra_ctrl_agent_bus' of interface 'cptra_ctrl_if' is missing port connection to inout 'clear_obf_secrets, iccm_axs_blocked, rv_ecc_sts' + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:148 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'soc_ifc_subenv_soc_ifc_status_agent_bus' of interface 'soc_ifc_status_if' is missing port connection to inout 'ready_for_fuses, ready_for_fw_push, ready_for_runtime, mailbox_data_avail, ...' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:153 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'soc_ifc_subenv_cptra_status_agent_bus' of interface 'cptra_status_if' is missing port connection to inout 'cptra_noncore_rst_b, cptra_uc_rst_b, fw_update_rst_window, cptra_obf_key_reg, ...' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:158 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'soc_ifc_subenv_mbox_sram_agent_bus' of interface 'mbox_sram_if' is missing port connection to inout 'mbox_sram_req, mbox_sram_resp' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:163 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'caliptra_top_dut' of module 'caliptra_top' is missing port connection to input 'cptra_csr_hmac_key, recovery_data_avail, recovery_image_activated, strap_ss_caliptra_base_addr, ...' + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:188 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'caliptra_top_dut' of module 'caliptra_top' is missing port connection to interface 's_axi_w_if, s_axi_r_if, m_axi_w_if, m_axi_r_if' + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:188 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'caliptra_top_dut' of module 'caliptra_top' is missing port connection to output 'ready_for_mb_processing, ss_dbg_manuf_enable, ss_soc_dbg_unlock_level, ss_generic_fw_exec_ctrl' + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:188 [compile index 283] +*** Warning: MISSING_PORT_CONNECTION: Instance 'tb_services_i' of module 'caliptra_top_tb_services' is missing port connection to output 'scan_mode, assert_hard_rst_flag, assert_rst_flag, deassert_hard_rst_flag, ...' + at line 337 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:337 [compile index 283] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'mbox_sram_addr' of '16-bit' type from 'mbox_sram_addr_stub_inactive' of '15-bit' type + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:350 [compile index 283] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:70 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:92 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:111 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:134 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:137 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:145 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:146 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:148 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:152 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:155 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:157 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:161 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:219 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:224 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:225 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:226 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:227 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:228 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:229 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:230 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:231 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:232 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:255 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:262 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:265 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:266 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:279 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:280 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:281 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:282 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:291 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:298 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:300 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:300 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:303 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:343 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 347 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:347 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:348 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 349 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:349 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:350 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:351 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:352 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:353 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:354 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:355 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:356 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:357 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:358 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:364 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:365 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:371 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 372 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:372 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:399 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 400 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:400 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 402 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:402 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:403 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 406 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:406 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:407 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:414 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:416 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:417 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:483 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 566 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:566 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 567 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:567 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:569 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 570 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:570 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:573 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 574 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:574 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 580 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:580 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 581 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:581 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:586 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:586 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 587 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:587 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 587 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:587 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:598 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:599 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:600 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:601 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:602 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 603 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:603 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 611 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:611 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 611 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:611 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 612 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:612 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 613 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:613 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 614 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:614 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 615 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:615 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 616 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:616 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 617 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:617 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 625 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:625 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 631 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:631 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 632 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:632 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 635 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:635 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 641 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:641 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 642 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:642 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 653 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:653 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 659 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:659 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 663 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:663 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_ECC' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 670 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:670 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ICACHE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 762 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:762 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ONLY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 773 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:773 [compile index 1680] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'wr_flop' condition + at line 818 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:818 [compile index 1680] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'ic_debug_way_loop' condition + at line 1651 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1651 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:38 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:40 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:43 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:68 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:68 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:69 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:70 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:71 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:178 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:179 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:179 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:180 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:182 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:386 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:387 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 388 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:388 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:451 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1076 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1076 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1081 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1081 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1086 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1086 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBC' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1091 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1091 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1096 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1096 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1101 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBF' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1106 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1111 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1116 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1116 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1121 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1121 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBF' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1121 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1126 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1126 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1126 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBF' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1126 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1218 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1406 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:1406 [compile index 1669] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'cam_array' condition + at line 648 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:648 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:58 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:59 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:83 [compile index 1673] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'g_pmpcfg_lock' condition + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:84 [compile index 1673] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'gen_pmpcfg_ff' condition + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:105 [compile index 1673] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'gen_pmpaddr_ff' condition + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:147 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:59 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:63 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:64 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:65 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:69 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:71 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:72 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:73 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:74 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:75 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:76 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:78 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:79 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:80 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:81 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:82 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:83 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:88 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:89 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:90 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:91 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:92 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:93 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:95 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:96 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:97 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:98 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:99 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:102 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:103 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:113 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:114 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:116 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:117 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:118 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:119 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:137 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:138 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:139 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:140 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:141 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:142 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:144 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:145 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:163 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:170 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:171 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:182 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:257 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:258 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:260 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:261 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_WIDTH_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:273 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:273 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_WIDTH_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:274 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:274 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:285 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:286 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:287 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:288 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:289 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:290 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:291 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:291 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:291 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 292 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:292 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 292 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:292 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:293 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:293 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 294 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:294 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 294 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:294 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:295 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:295 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:295 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:296 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:296 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:297 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:297 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:298 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:298 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:316 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:317 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:319 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:320 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 323 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:323 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:373 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:374 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:376 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:376 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:376 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:377 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:377 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:377 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:383 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:383 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:385 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:385 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:392 [compile index 1690] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_lsu_dccm_ctl.DCCM_WIDTH_BITS' from expression: $clog2(pt.DCCM_BYTE_WIDTH) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:163 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:46 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:47 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:48 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:49 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:54 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:55 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:62 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:66 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:79 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:80 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:81 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:82 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_STBUF_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:92 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:93 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:94 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:100 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:108 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:127 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:181 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:229 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:259 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:261 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:268 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:269 [compile index 1692] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_lsu_stbuf.DEPTH_LOG2' from expression: $clog2(DEPTH) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:95 [compile index 1692] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'FindMatchEntry' condition + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:172 [compile index 1692] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk3' condition + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:312 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:92 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:94 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:97 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:109 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:141 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:146 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:171 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:184 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:185 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:227 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 326 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:326 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 327 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:327 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:328 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 347 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:347 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:348 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 349 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:349 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:361 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:363 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:431 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 519 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:519 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 520 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:520 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 531 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:531 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 563 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:563 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 564 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:564 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 565 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:565 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 855 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:855 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 867 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:867 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 868 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:868 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 875 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:875 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 892 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:892 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 933 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:933 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 939 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:939 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk1' condition + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:385 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk1' condition + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:393 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk7' condition + at line 608 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:608 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'GenAgeVec' condition + at line 622 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:622 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'GenRspAgeVec' condition + at line 638 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:638 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblock' condition + at line 653 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:653 [compile index 1684] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'GenAssertAge' condition + at line 954 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:954 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:35 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:57 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:58 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:59 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:60 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:61 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:62 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:63 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:66 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:67 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:68 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:69 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:70 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:75 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:77 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:79 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:105 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:106 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:107 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:108 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:109 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:111 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:112 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:113 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:114 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:115 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:116 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:120 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:121 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:145 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:146 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_2CYCLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:344 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 485 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:485 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:502 [compile index 1675] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_pic_ctrl.NUM_LEVELS' from expression: $clog2(pt.PIC_TOTAL_INT_PLUS1) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:57 [compile index 1675] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_pic_ctrl.GW_CONFIG' from expression: '{default:0} + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:75 [compile index 1675] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'IO_CLK_GRP' condition + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:229 [compile index 1675] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'SETREG' condition + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:262 [compile index 1675] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk4' condition + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:487 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:88 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:101 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:106 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:112 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUF_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:119 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:136 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_ID' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:137 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_PRTY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:138 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:199 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_ID' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:200 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_PRTY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:201 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:212 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:221 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:231 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:378 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:391 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:405 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 406 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:406 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:439 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:451 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:468 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_ID' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:469 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_PRTY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:470 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:482 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:487 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 493 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:493 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 517 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:517 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 559 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:559 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:601 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 629 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:629 [compile index 1641] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'el2_dma_ctrl.DEPTH_PTR' from expression: $clog2(DEPTH) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:120 [compile index 1641] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'GenFifo' condition + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:245 [compile index 1641] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'genblk4' condition + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:504 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:41 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:42 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:49 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:52 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:53 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:54 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:55 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:56 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:57 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:58 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:59 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:149 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:152 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:172 [compile index 1643] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'g_addr_exp' condition + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:193 [compile index 1643] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'g_regions' condition + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:237 [compile index 1643] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'PRIME_mu' of '48-bit' type from '64'h100000001' of '64-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_params_pkg.sv:65 [compile index 138] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'GROUP_ORDER_mu' of '48-bit' type from '64'h6089e88fdc45' of '64-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_params_pkg.sv:70 [compile index 138] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'IsFatal' of '1-bit' type from 'i' of '32-bit' type + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes.sv:232 [compile index 634] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'cs_i' is not driven + at line 1672 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1672 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'we_i' is not driven + at line 1673 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1673 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addr_i' is not driven + at line 1674 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1674 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wdata_i' is not driven + at line 1675 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1675 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'cs_i' is not driven + at line 1722 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1722 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'we_i' is not driven + at line 1723 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1723 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addr_i' is not driven + at line 1724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1724 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wdata_i' is not driven + at line 1725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1725 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'cs_i' is not driven + at line 1740 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1740 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'we_i' is not driven + at line 1741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1741 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addr_i' is not driven + at line 1742 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1742 [compile index 516] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wdata_i' is not driven + at line 1743 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1743 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b10000' of '5-bit' type + at line 445 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:445 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b1000' of '5-bit' type + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:456 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b100' of '5-bit' type + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:464 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b1000' of '5-bit' type + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:473 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b1' of '5-bit' type + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:483 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b1' of '5-bit' type + at line 494 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:494 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b1' of '5-bit' type + at line 506 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:506 [compile index 516] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'next' of '8-bit' type from '5'b100' of '5-bit' type + at line 529 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:529 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'normcheck_mode_random' of '2-bit' type from '$urandom_range()' of '32-bit' type + at line 637 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:637 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'wntz_n' of '1-bit' type from 'n' of '32-bit' type + at line 1026 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1026 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'wntz_w' of '4-bit' type from 'w' of '32-bit' type + at line 1027 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1027 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'signature' of '37024-bit' type from '{mldsa_test_vector.signature[0...' of '37032-bit' type + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1126 [compile index 516] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'wait_time_to_rst' in sequential logic (use non-blocking assignment) + at line 1250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1250 [compile index 516] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'caliptra_top_tb_services.gpr[0][caliptra_top_dut.rvtop.veer.dec.dec_nonblock_load_waddr]' in sequential logic (use non-blocking assignment) + at line 1498 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1498 [compile index 516] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'caliptra_top_tb_services.gpr[0][caliptra_top_dut.rvtop.veer.dec.div_waddr_wb]' in sequential logic (use non-blocking assignment) + at line 1502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1502 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hex_file_is_empty' of '1-bit' type from '$system()' of '32-bit' type + at line 1582 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1582 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hex_file_is_empty' of '1-bit' type from '$system()' of '32-bit' type + at line 1584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1584 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hex_file_is_empty' of '1-bit' type from '$system()' of '32-bit' type + at line 1586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1586 [compile index 516] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hex_file_is_empty' of '1-bit' type from '$system()' of '32-bit' type + at line 1588 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1588 [compile index 516] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'mldsa_top' is missing port connection to input 'kv_rd_resp, pcr_signing_data' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:111 [compile index 326] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'mldsa_top' is missing port connection to output 'kv_read, error_intr, notif_intr' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:111 [compile index 326] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:148 [compile index 326] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'hmac_ctrl' is missing port connection to input 'cptra_csr_hmac_key' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:85 [compile index 752] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'read_data' of '64-bit' type from 'hrdata_o_tb' of '32-bit' type + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:378 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[511 : 480]' of '32-bit' type from 'read_data' of '64-bit' type + at line 421 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:421 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[479 : 448]' of '32-bit' type from 'read_data' of '64-bit' type + at line 423 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:423 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[447 : 416]' of '32-bit' type from 'read_data' of '64-bit' type + at line 425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:425 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[415 : 384]' of '32-bit' type from 'read_data' of '64-bit' type + at line 427 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:427 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[383 : 352]' of '32-bit' type from 'read_data' of '64-bit' type + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:429 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[351 : 320]' of '32-bit' type from 'read_data' of '64-bit' type + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:431 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[319 : 288]' of '32-bit' type from 'read_data' of '64-bit' type + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:433 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[287 : 256]' of '32-bit' type from 'read_data' of '64-bit' type + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:435 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[255 : 224]' of '32-bit' type from 'read_data' of '64-bit' type + at line 437 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:437 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[223 : 192]' of '32-bit' type from 'read_data' of '64-bit' type + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:439 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[191 : 160]' of '32-bit' type from 'read_data' of '64-bit' type + at line 441 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:441 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[159 : 128]' of '32-bit' type from 'read_data' of '64-bit' type + at line 443 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:443 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[127 : 96]' of '32-bit' type from 'read_data' of '64-bit' type + at line 445 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:445 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[95 : 64]' of '32-bit' type from 'read_data' of '64-bit' type + at line 447 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:447 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[63 : 32]' of '32-bit' type from 'read_data' of '64-bit' type + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:449 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'digest_data[31 : 0]' of '32-bit' type from 'read_data' of '64-bit' type + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:451 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 471 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:471 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:528 [compile index 752] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 596 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:596 [compile index 752] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'lifecycle' of '2-bit' type + at line 542 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:542 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'debug_state' of '1-bit' type + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:543 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'scan_mode' of '1-bit' type + at line 544 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:544 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'debug_locked' of '1-bit' type + at line 582 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:582 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'gen_input_wire_toggle' of '1-bit' type + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:583 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from 'boot_fsm_ps' of '3-bit' type + at line 604 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:604 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from '1'b1' of '1-bit' type + at line 679 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:679 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v' of '32-bit' type from '16'hffff' of '16-bit' type + at line 713 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:713 [compile index 754] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 815 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:815 [compile index 754] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 816 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:816 [compile index 754] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to array element of '32-bit' type from 'tid' of '1-bit' type + at line 1458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb_pkg.sv:1458 [compile index 754] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'APB_USER_WIDTH' of type 'soc_ifc_top' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:203 [compile index 1125] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'APB_ADDR_WIDTH' of type 'soc_ifc_top' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:204 [compile index 1125] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'APB_DATA_WIDTH' of type 'soc_ifc_top' + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:205 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'ready_for_fw_push' of module 'soc_ifc_top' + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:219 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'paddr_i' of module 'soc_ifc_top' + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:231 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'psel_i' of module 'soc_ifc_top' + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:232 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'penable_i' of module 'soc_ifc_top' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:233 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'pwrite_i' of module 'soc_ifc_top' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:234 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'pwdata_i' of module 'soc_ifc_top' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:235 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'pauser_i' of module 'soc_ifc_top' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:236 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'pready_o' of module 'soc_ifc_top' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:237 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'prdata_o' of module 'soc_ifc_top' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:238 [compile index 1125] +*** Error: NON_EXISTING_PORT: Non existing port 'pslverr_o' of module 'soc_ifc_top' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:239 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:152 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:153 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:154 [compile index 1125] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_req_t' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:160 [compile index 1125] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_resp_t' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:161 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cs' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:185 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:186 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:187 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req' (type 'mbox_sram_req_t' is undeclared) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:188 [compile index 1125] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp' (type 'mbox_sram_resp_t' is undeclared) + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:189 [compile index 1125] +*** Error: ILLEGAL_IMPLICIT: Illegal implicit declaration of 'ready_for_fw_push' when `default_nettype set to none + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:219 [compile index 1125] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'soc_ifc_tb.APB_DATA_WIDTH' from expression: `CALIPTRA_APB_DATA_WIDTH + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:85 [compile index 1125] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'soc_ifc_tb.APB_USER_WIDTH' from expression: `CALIPTRA_APB_USER_WIDTH + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:86 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_SECURITY_STATE' is not used + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:431 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:432 [compile index 1125] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:432 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_GENERIC_INPUT_WIRES' is not used + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:433 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_GENERIC_INPUT_WIRES' is not used + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:434 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_INTR_BRF_NOTIF_INTERNAL_INTR_R' is not used + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:435 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'unlock_fuses' is not used + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:458 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'cptra_uds_tb' of '384-bit' type from '{256'hb32e2b171b63827034ebb0d1...' of '1024-bit' type + at line 578 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:578 [compile index 1125] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'cptra_fe_tb' of '1024-bit' type from '256'he4046d05385ab789c6a72866e...' of '256-bit' type + at line 584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:584 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'haddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:599 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'paddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 625 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:625 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'paddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 653 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:653 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'haddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 711 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:711 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'paddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 730 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:730 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'paddr_i_tb' of '19-bit' type from 'address' of '32-bit' type + at line 757 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:757 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_GENERIC_INPUT_WIRES' is not used + at line 862 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:862 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_GENERIC_INPUT_WIRES' is not used + at line 863 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:863 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 878 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:878 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 898 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:898 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 958 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:958 [compile index 1125] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1040 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 1056 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1056 [compile index 1125] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 1056 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1056 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'lock_fuses' is not used + at line 1076 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1076 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 1116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1116 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'check_entry_inrange' is not used + at line 1165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1165 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'check_entry' is not used + at line 1290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1290 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 1336 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1336 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'check_entry' is not used + at line 1375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1375 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ss_code' of '3-bit' type from '$urandom_range()' of '32-bit' type + at line 1426 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1426 [compile index 1125] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ss_code' of '3-bit' type from 'get_ss_code()' of '32-bit' type + at line 1426 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1426 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$value$plusargs' is not used + at line 1524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1524 [compile index 1125] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_security_state_byname' is not used + at line 1536 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:1536 [compile index 1125] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'soc_ifc_top' is missing port connection to input 'recovery_data_avail, recovery_image_activated, strap_ss_caliptra_base_addr, strap_ss_mci_base_addr, ...' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:200 [compile index 1125] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'soc_ifc_top' is missing port connection to interface 's_axi_w_if, s_axi_r_if, m_axi_w_if, m_axi_r_if' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:200 [compile index 1125] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'soc_ifc_top' is missing port connection to output 'ready_for_mb_processing, dma_error_intr, dma_notif_intr, cptra_ss_debug_intent, ...' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:200 [compile index 1125] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'addr' of '19-bit' type from 'addr' of '18-bit' type + at line 339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:339 [compile index 501] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'id' of '8-bit' type from 'id' of '32-bit' type + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:342 [compile index 501] +*** Error: NON_EXISTING_PORT: Non existing port 'dccm_ext_in_pkt' of module 'el2_veer_wrapper' + at line 734 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:734 [compile index 1171] +*** Error: NON_EXISTING_PORT: Non existing port 'iccm_ext_in_pkt' of module 'el2_veer_wrapper' + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:735 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1029 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1029 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1030 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1030 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1032 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1032 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1033 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1033 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1055 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1055 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1056 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1056 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1058 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1058 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1059 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1059 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1092 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1092 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1093 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1093 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1095 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1095 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'iccm' is not a member of 'mem_bank' + at line 1096 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1096 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1124 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1125 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1127 [compile index 1171] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dccm' is not a member of 'mem_bank' + at line 1128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:1128 [compile index 1171] +*** Warning: MISSING_PORT_CONNECTION: Instance 'rvtop' of module 'el2_veer_wrapper' is missing port connection to input 'dmi_core_enable, dmi_uncore_enable, dmi_uncore_rdata' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:440 [compile index 1171] +*** Warning: MISSING_PORT_CONNECTION: Instance 'rvtop' of module 'el2_veer_wrapper' is missing port connection to interface 'el2_mem_export' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:440 [compile index 1171] +*** Warning: MISSING_PORT_CONNECTION: Instance 'rvtop' of module 'el2_veer_wrapper' is missing port connection to output 'iccm_ecc_single_error, iccm_ecc_double_error, dccm_ecc_single_error, dccm_ecc_double_error, ...' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:440 [compile index 1171] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'el2_veer_wrapper_tb.gpr[0][rvtop.veer.dec.dec_nonblock_load_waddr]' in sequential logic (use non-blocking assignment) + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:370 [compile index 1171] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'el2_veer_wrapper_tb.gpr[0][rvtop.veer.dec.div_waddr_wb]' in sequential logic (use non-blocking assignment) + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:374 [compile index 1171] +*** Error: NON_EXISTING_PORT: Non existing port 'err' of module 'axi_sub' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:118 [compile index 1230] +*** Warning: MISSING_PORT_CONNECTION: Instance 'axi_sub_if' of interface 'axi_if' is missing port connection to input 'clk, rst_n' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:65 [compile index 1230] +*** Warning: MISSING_PORT_CONNECTION: Instance 'axi_sub_inst' of module 'axi_sub' is missing port connection to input 'rd_err, wr_err' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:96 [compile index 1230] +*** Warning: MISSING_PORT_CONNECTION: Instance 'axi_sub_inst' of module 'axi_sub' is missing port connection to output 'write, id' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:96 [compile index 1230] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'IsFatal' of '1-bit' type from 'i' of '32-bit' type + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng.sv:152 [compile index 748] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'IsFatal' of '1-bit' type from 'i' of '32-bit' type + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src.sv:253 [compile index 746] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'unused_idle' of '1-bit' type from 'idle_o' of '4-bit' type + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv:87 [compile index 1537] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'a_data' of '32-bit' type from 'aes_key[195 : 160]' of '36-bit' type + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv:276 [compile index 1537] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'a_data' of '32-bit' type from 'aes_key[255 : 228]' of '28-bit' type + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv:298 [compile index 1537] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'addr_a' of '15-bit' type from 'addr' of '14-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:98 [compile index 1542] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'addr_b' of '15-bit' type from 'addr' of '14-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:102 [compile index 1542] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'addr_a' of '15-bit' type from 'addr' of '14-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:114 [compile index 1542] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'addr_b' of '15-bit' type from 'addr' of '14-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:118 [compile index 1542] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:189 [compile index 1542] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:190 [compile index 1542] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'alert_rx_i' is not driven + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:117 [compile index 1543] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:154 [compile index 1543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:383 [compile index 1543] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'abr_piso.PISO_PTR_W' from expression: $clog2(PISO_BUFFER_W) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_piso.sv:19 [compile index 1998] +*** Warning: UNRESOLVED_PARAMETER_VALUE: Cannot determine default value of parameter 'sample_in_ball_ctrl.SIB_NUM_DATA_BITS' from expression: $bits(data_i) + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_ctrl.sv:45 [compile index 1620] +*** Warning: UNRESOLVED_LOOP_BLOCK_CONDITION: Cannot resolve loop block 'sample_in_ball_inst' condition + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/rtl/sample_in_ball_ctrl.sv:209 [compile index 1620] +*** Error: NON_EXISTING_PORT: Non existing port 'w1_encode_done' of module 'decompose' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:76 [compile index 1594] +*** Warning: PORT_CONNECTION: Output port 'mem_wr_data' of module 'decompose' connected to 'mem_wr_data_o' of 'reg' data type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:70 [compile index 1594] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:178 [compile index 1594] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'coeff_high_tb' of '16-bit' type from '{$random, $random, $random, $r...' of '128-bit' type + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:311 [compile index 1594] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'masked_bfu_1x2_test' is not declared + at line 611 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:611 [compile index 1613] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'hybrid_pw_uvw_i' is not driven + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:150 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd0' of '46-bit' type from '$random()' of '32-bit' type + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:167 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd1' of '46-bit' type from '$random()' of '32-bit' type + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:168 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd2' of '46-bit' type from '$random()' of '32-bit' type + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:169 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd3' of '46-bit' type from '$random()' of '32-bit' type + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:170 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'u[i]' of '46-bit' type from '2'h0' of '2-bit' type + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:237 [compile index 1613] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v[i]' of '46-bit' type from '2'h0' of '2-bit' type + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:238 [compile index 1613] +*** Warning: ILLEGAL_UNSIZED_NUMBER_IN_BIT_CONCATENATION : Expecting a sized constant number, found ''h0' + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:295 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'mode_tb' of '3-bit' type from 'j' of '32-bit' type + at line 535 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:535 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u00_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 560 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:560 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u01_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:561 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v00_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 562 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:562 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v01_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 563 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:563 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w00_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 564 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:564 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w01_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 565 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:565 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w10_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 566 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:566 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w11_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 567 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:567 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u0_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:569 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v0_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 570 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:570 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w0_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 571 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:571 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u1_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:573 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v1_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 574 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:574 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w1_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 575 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:575 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u2_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 577 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:577 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v2_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 578 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:578 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w2_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 579 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:579 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u3_i' of '23-bit' type from 'actual_u' of '46-bit' type + at line 581 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:581 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v3_i' of '23-bit' type from 'actual_v' of '46-bit' type + at line 582 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:582 [compile index 1613] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w3_i' of '23-bit' type from 'actual_w' of '46-bit' type + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:583 [compile index 1613] +*** Warning: PORT_CONNECTION: Output port 'ntt_done' of module 'ntt_wrapper' connected to 'ntt_done_tb' of 'reg' data type + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:166 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd0' of '46-bit' type from '$random()' of '32-bit' type + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:193 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd1' of '46-bit' type from '$random()' of '32-bit' type + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:194 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd2' of '46-bit' type from '$random()' of '32-bit' type + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:195 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rnd3' of '46-bit' type from '$random()' of '32-bit' type + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:196 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'u[i]' of '46-bit' type from '2'h0' of '2-bit' type + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:276 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'v[i]' of '46-bit' type from '2'h0' of '2-bit' type + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:277 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'j' of '2-bit' type from '$urandom_range()' of '32-bit' type + at line 326 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:326 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr0' of '8-bit' type from 'i' of '32-bit' type + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:338 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr1' of '8-bit' type from 'i' of '32-bit' type + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:338 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr2' of '8-bit' type from 'i' of '32-bit' type + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:338 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr3' of '8-bit' type from 'i' of '32-bit' type + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:338 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr0' of '8-bit' type from 'i' of '32-bit' type + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:352 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr1' of '8-bit' type from 'i' of '32-bit' type + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:352 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr2' of '8-bit' type from 'i' of '32-bit' type + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:352 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr3' of '8-bit' type from 'i' of '32-bit' type + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:352 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'random_tb' of '6-bit' type from '$urandom()' of '32-bit' type + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:383 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'src_base_addr' of '14-bit' type from '8'd0' of '8-bit' type + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:392 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'interim_base_addr' of '14-bit' type from '8'd64' of '8-bit' type + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:393 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'dest_base_addr' of '14-bit' type from '8'd128' of '8-bit' type + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:394 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'src_base_addr' of '14-bit' type from '8'd128' of '8-bit' type + at line 410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:410 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'interim_base_addr' of '14-bit' type from '8'd64' of '8-bit' type + at line 411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:411 [compile index 1614] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'dest_base_addr' of '14-bit' type from '8'd128' of '8-bit' type + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:412 [compile index 1614] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'load_tb_addr' of '14-bit' type from 'i' of '32-bit' type + at line 654 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:654 [compile index 1614] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:121 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'ena' is not driven + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:134 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wea' is not driven + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:135 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addra' is not driven + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:136 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dina' is not driven + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:137 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:142 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'ena' is not driven + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:155 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wea' is not driven + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:156 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addra' is not driven + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:157 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dina' is not driven + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:158 [compile index 1615] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:163 [compile index 1615] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'addr_b' of '14-bit' type from '15'b0' of '15-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:119 [compile index 1632] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:186 [compile index 1632] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:187 [compile index 1632] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:220 [compile index 1632] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:221 [compile index 1632] +*** Warning: UNDECLARED_MODULE: Module 'butterfly2x2' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:77 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:403 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:404 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:405 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u00_i' of '23-bit' type from 'out_observed[j * 4]' of '24-bit' type + at line 408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:408 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u01_i' of '23-bit' type from 'out_observed[j * 4 + 1]' of '24-bit' type + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:409 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v00_i' of '23-bit' type from 'out_observed[j * 4 + 2]' of '24-bit' type + at line 410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:410 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v01_i' of '23-bit' type from 'out_observed[j * 4 + 3]' of '24-bit' type + at line 411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:411 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w00_i' of '23-bit' type from 'zeta_inv[k1]' of '24-bit' type + at line 413 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:413 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w01_i' of '23-bit' type from 'zeta_inv[k2]' of '24-bit' type + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:414 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w10_i' of '23-bit' type from 'zeta_inv[k3]' of '24-bit' type + at line 415 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:415 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w11_i' of '23-bit' type from 'zeta_inv[k3]' of '24-bit' type + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:416 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'intt_out_observed[a * 4]' of '24-bit' type from 'u20_o' of '23-bit' type + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:434 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'intt_out_observed[a * 4 + 1]' of '24-bit' type from 'u21_o' of '23-bit' type + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:435 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'intt_out_observed[a * 4 + 2]' of '24-bit' type from 'v20_o' of '23-bit' type + at line 436 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:436 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'intt_out_observed[a * 4 + 3]' of '24-bit' type from 'v21_o' of '23-bit' type + at line 437 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:437 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:470 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:475 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 476 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:476 [compile index 1798] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 477 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:477 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u00_i' of '23-bit' type from 'in_val[j * 4]' of '24-bit' type + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:482 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'u01_i' of '23-bit' type from 'in_val[j * 4 + 1]' of '24-bit' type + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:483 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v00_i' of '23-bit' type from 'in_val[j * 4 + 2]' of '24-bit' type + at line 484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:484 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'v01_i' of '23-bit' type from 'in_val[j * 4 + 3]' of '24-bit' type + at line 485 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:485 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w00_i' of '23-bit' type from 'zeta[k1]' of '24-bit' type + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:487 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w01_i' of '23-bit' type from 'zeta[k1]' of '24-bit' type + at line 488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:488 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w10_i' of '23-bit' type from 'zeta[k2]' of '24-bit' type + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:489 [compile index 1798] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'w11_i' of '23-bit' type from 'zeta[k3]' of '24-bit' type + at line 490 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:490 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'out_observed[a * 4]' of '24-bit' type from 'u20_o' of '23-bit' type + at line 509 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:509 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'out_observed[a * 4 + 1]' of '24-bit' type from 'u21_o' of '23-bit' type + at line 510 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:510 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'out_observed[a * 4 + 2]' of '24-bit' type from 'v20_o' of '23-bit' type + at line 511 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:511 [compile index 1798] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'out_observed[a * 4 + 3]' of '24-bit' type from 'v21_o' of '23-bit' type + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:512 [compile index 1798] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:119 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'ena' is not driven + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:132 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wea' is not driven + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:133 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addra' is not driven + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:134 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dina' is not driven + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:135 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:140 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'ena' is not driven + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:153 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'wea' is not driven + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:154 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'addra' is not driven + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:155 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dina' is not driven + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:156 [compile index 1800] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'dinb' is not driven + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:161 [compile index 1800] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'random_utb' of '6-bit' type from '{4'h5, $urandom_range()}' of '36-bit' type + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:211 [compile index 1800] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'MEM_ADDR_WIDTH' of type 'pkdecode' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:60 [compile index 1802] +*** Warning: PORT_CONNECTION: Output port 'API_rd_address' of module 'pkdecode' connected to 'API_rd_address_tb' of 'reg' data type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:75 [compile index 1802] +*** Warning: PORT_CONNECTION: Output port 'data_out_a' of module 'sig_dual_port_memory' connected to 'API_rd_data_tb' of 'reg' data type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:120 [compile index 1802] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:188 [compile index 1802] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:189 [compile index 1802] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'src_base_addr' of '14-bit' type from 'src_base_addr' of '10-bit' type + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:223 [compile index 1802] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'src_base_addr' of '14-bit' type from '15'h0' of '15-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:84 [compile index 1804] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'skmem_dest_base_addr' of '14-bit' type from '15'h0' of '15-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:85 [compile index 1804] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:174 [compile index 1804] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:175 [compile index 1804] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:176 [compile index 1804] +*** Warning: PORT_CONNECTION: Output port 'data_out_a' of module 'normcheck_dual_port_memory' connected to 'mem_rd_data_tb[k]' of 'reg' data type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:86 [compile index 1603] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'src_base_tb' of '14-bit' type from '$urandom_range()' of '32-bit' type + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:342 [compile index 1603] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'src_base_tb' of '14-bit' type from '$urandom_range()' of '32-bit' type + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:376 [compile index 1603] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'randomness_tb' of '6-bit' type from '$urandom_range()' of '32-bit' type + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:431 [compile index 1603] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to input 'kv_wr_resp, debugUnlock_or_scan_mode_switch' + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:125 [compile index 1883] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to output 'clear_obf_secrets' + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:125 [compile index 1883] +*** Warning: WIDTH_MISMATCH_IMPLICIT_SIGNAL: Assignment to 'cptra_obf_key' of '256-bit' type from 'cptra_obf_key' of '1-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:146 [compile index 1883] +*** Warning: WIDTH_MISMATCH_IMPLICIT_SIGNAL: Assignment to 'obf_field_entropy' of '256-bit' type from 'obf_field_entropy' of '1-bit' type + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:147 [compile index 1883] +*** Warning: WIDTH_MISMATCH_IMPLICIT_SIGNAL: Assignment to 'obf_uds_seed' of '512-bit' type from 'obf_uds_seed' of '1-bit' type + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:148 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:403 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h00' of '8-bit' type + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:405 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h01' of '8-bit' type + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:407 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:464 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:470 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:528 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 535 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:535 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 565 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:565 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 628 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:628 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 635 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:635 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 665 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:665 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 694 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:694 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:724 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 778 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:778 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 786 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:786 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 805 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:805 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 839 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:839 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 858 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:858 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 891 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:891 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 910 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:910 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 943 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:943 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 962 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:962 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 1031 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1031 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1038 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1038 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1039 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1039 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1040 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1041 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1041 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1042 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1042 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1053 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1053 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1054 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1054 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1055 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1055 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1056 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1056 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1060 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1060 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1061 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1061 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1062 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1062 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1063 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1063 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 1072 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1072 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1099 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1099 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1150 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1157 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1162 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1163 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1164 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1169 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1170 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 1171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1171 [compile index 1883] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 1172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1172 [compile index 1883] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 1176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1176 [compile index 1883] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 1194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:1194 [compile index 1883] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'BYPASS_HSEL' of type 'doe_ctrl' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:118 [compile index 1885] +*** Error: NON_EXISTING_PORT: Non existing port 'hadrr_i' of module 'doe_ctrl' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:124 [compile index 1885] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to input 'cptra_pwrgood, cptra_obf_key, obf_field_entropy, obf_uds_seed, ...' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:115 [compile index 1885] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to output 'kv_write, clear_obf_secrets, busy_o, error_intr, ...' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:115 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:382 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h00' of '8-bit' type + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:384 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h01' of '8-bit' type + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:386 [compile index 1885] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:416 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 421 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:421 [compile index 1885] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:472 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:479 [compile index 1885] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 510 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:510 [compile index 1885] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'BYPASS_HSEL' of type 'doe_ctrl' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:108 [compile index 1886] +*** Error: NON_EXISTING_PORT: Non existing port 'hadrr_i' of module 'doe_ctrl' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:114 [compile index 1886] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to input 'cptra_pwrgood, cptra_obf_key, obf_field_entropy, obf_uds_seed, ...' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:105 [compile index 1886] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to output 'kv_write, clear_obf_secrets, busy_o, error_intr, ...' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:105 [compile index 1886] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h02' of '8-bit' type + at line 340 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:340 [compile index 1886] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h00' of '8-bit' type + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:342 [compile index 1886] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h01' of '8-bit' type + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:344 [compile index 1886] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h02' of '8-bit' type + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:374 [compile index 1886] +*** Error: NON_EXISTING_PARAMETER: Non existing parameter 'BYPASS_HSEL' of type 'doe_ctrl' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:119 [compile index 1887] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to input 'cptra_pwrgood, cptra_obf_key, obf_field_entropy, obf_uds_seed, ...' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:116 [compile index 1887] +*** Warning: MISSING_PORT_CONNECTION: Instance 'dut' of module 'doe_ctrl' is missing port connection to output 'kv_write, clear_obf_secrets, busy_o, error_intr, ...' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:116 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:384 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h00' of '8-bit' type + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:386 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h01' of '8-bit' type + at line 388 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:388 [compile index 1887] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 426 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:426 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:431 [compile index 1887] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:482 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:489 [compile index 1887] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '8'h02' of '8-bit' type + at line 520 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:520 [compile index 1887] +*** Warning: UNDECLARED_MODULE: Module 'doe' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:107 [compile index 1888] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h02' of '8-bit' type + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:330 [compile index 1888] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h00' of '8-bit' type + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:334 [compile index 1888] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h01' of '8-bit' type + at line 337 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:337 [compile index 1888] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '64-bit' type from '8'h02' of '8-bit' type + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:364 [compile index 1888] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'HMAC_lfsr_seed' is not a member of 'hmac_drbg_dut' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv:145 [compile index 1935] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'lfsr_seed' of '384-bit' type from 'lfsr_seed_tb' of '148-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv:81 [compile index 1935] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'lfsr_seed_tb' of '148-bit' type from '384'h0' of '384-bit' type + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv:212 [compile index 1935] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'lfsr_seed_tb' of '148-bit' type from 'lfsr_seed' of '384-bit' type + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv:233 [compile index 1935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:160 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:161 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:163 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:164 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:169 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:170 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:175 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:180 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:182 [compile index 1963] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'dut' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:186 [compile index 1963] +*** Warning: UNDECLARED_MODULE: Module 'error_intr' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:119 [compile index 1963] +*** Warning: UNDECLARED_MODULE: Module 'error_intr' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:120 [compile index 1963] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'logic[1:0] #[WIDTH-1:0]' to port 'x' of type 'wire logic[1:0][WIDTH-1:0]' (cannot assign an unpacked type to a packed type) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:57 [compile index 2006] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'logic[1:0] #[WIDTH-1:0]' to port 'y' of type 'wire logic[1:0][WIDTH-1:0]' (cannot assign an unpacked type to a packed type) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:58 [compile index 2006] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'random_tb' of '22-bit' type from '$random' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:101 [compile index 2006] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'actual_input' of '11-bit' type from '$random' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:102 [compile index 2006] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'actual_y' of '11-bit' type from '$random' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:103 [compile index 2006] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tmp1' of '22-bit' type from '$random' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:104 [compile index 2006] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'y_tmp1' of '22-bit' type from '$random' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_two_share_tb.sv:109 [compile index 2006] +*** Warning: PORT_CONNECTION: Output port 'res_o' of module 'ntt_mult_reduction' connected to 'res_o_tb' of 'reg' data type + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:59 [compile index 2023] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'a' of '23-bit' type from '$urandom_range()' of '32-bit' type + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:195 [compile index 2023] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'b' of '23-bit' type from '$urandom_range()' of '32-bit' type + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:196 [compile index 2023] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_init' is not a member of 'sha256' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:92 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_next' is not a member of 'sha256' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:93 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_ready' is not a member of 'sha256' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:94 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wntz_mode' is not a member of 'sha256' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:95 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest_valid' is not a member of 'sha256' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:73 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:75 [compile index 1770] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sca_en_i' is not declared + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:254 [compile index 1896] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ecc_cmd_i' of '3-bit' type from 'ecc_cmd_i' of '4-bit' type + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:253 [compile index 1896] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'p_mu' of '48-bit' type from '32'h00000001' of '32-bit' type + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:26 [compile index 1896] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'q_mu' of '48-bit' type from '32'he88fdc45' of '32-bit' type + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:28 [compile index 1896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sca_en_i' is not declared + at line 1096 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:1096 [compile index 1715] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ecc_cmd_i' of '3-bit' type from 'ecc_cmd_i' of '4-bit' type + at line 1095 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:1095 [compile index 1715] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LFSR_INIT_SEED' is not declared + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv:523 [compile index 2059] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'keygen_sign' is not declared + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv:528 [compile index 2059] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'garbage_bit_vector1' is not a member of 'hmac_core' + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:222 [compile index 1722] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'garbage_bit_vector1' is not a member of 'hmac_core' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:223 [compile index 1722] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'garbage_bit_vector2' is not a member of 'hmac_core' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:224 [compile index 1722] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'garbage_bit_vector2' is not a member of 'hmac_core' + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:247 [compile index 1722] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hmac_msg_key' of '384-bit' type from 'key' of '512-bit' type + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:225 [compile index 1722] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tag' of '384-bit' type from 'tag' of '512-bit' type + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:235 [compile index 1722] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hmac_key' of '384-bit' type from 'key' of '512-bit' type + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:245 [compile index 1722] +*** Warning: MISSING_PORT_CONNECTION: Instance 'fv_doe_cbc_inst' of module 'fv_doe_cbc_checker_m' is missing port connection to input 'zeroize' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc.sv:143 [compile index 1710] +*** Error: NON_EXISTING_PORT: Non existing port 'encdec' of module 'fv_constraints_m' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:196 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'ready' of module 'fv_constraints_m' + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:199 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'IV_updated' of module 'fv_constraints_m' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:200 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'key' of module 'fv_constraints_m' + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:201 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'block_msg' of module 'fv_constraints_m' + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:202 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'keylen' of module 'fv_constraints_m' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:203 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'IV' of module 'fv_constraints_m' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:204 [compile index 1872] +*** Error: NON_EXISTING_PORT: Non existing port 'zeroize' of module 'fv_coverpoints_m' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv:90 [compile index 1873] +*** Warning: MISSING_PORT_CONNECTION: Instance 'ecc_reduced_instantiation_inst' of module 'ecc_reduced_instantiations' is missing port connection to input 'start_i, opa_i, opb_i, n_i, ...' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:111 [compile index 1893] +*** Warning: MISSING_PORT_CONNECTION: Instance 'ecc_reduced_instantiation_inst' of module 'ecc_reduced_instantiations' is missing port connection to output 'p_o, ready_o, a_out, m_out, ...' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:111 [compile index 1893] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'opa_i' of '16-bit' type from 'opa_i' of '48-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:65 [compile index 1893] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'opb_i' of '16-bit' type from 'opb_i' of '48-bit' type + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:66 [compile index 1893] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'n_i' of '16-bit' type from 'n_i' of '48-bit' type + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:67 [compile index 1893] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'n_prime_i' of '2-bit' type from 'n_prime_i' of '4-bit' type + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:68 [compile index 1893] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'p_o' of '48-bit' type from 'p_o' of '16-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:69 [compile index 1893] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'en_i' is not driven + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:101 [compile index 1893] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'data_i' is not driven + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:102 [compile index 1893] +*** Warning: UNDRIVEN_INPUT_PORT: Input port 'rnd_i' is not driven + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/ecc_reduced_instantiations.sv:103 [compile index 1893] +*** Error: NON_EXISTING_PORT: Non existing port 'zeroize' of module 'fv_coverpoints_m' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_cover_points.sv:65 [compile index 1933] +*** Error: NON_EXISTING_PORT: Non existing port 'rst_n' of module 'fv_constraints_m' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:104 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'zeroize' of module 'fv_constraints_m' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:105 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'init' of module 'fv_constraints_m' + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:106 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'next' of module 'fv_constraints_m' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:107 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'hmac_valid' of module 'fv_constraints_m' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:108 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'hmac_tag' of module 'fv_constraints_m' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:109 [compile index 1932] +*** Warning: MISSING_PORT_CONNECTION: Instance 'fv_constraint' of module 'fv_constraints_m' is missing port connection to input 'init_cmd, next_cmd, reset_n' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:102 [compile index 1932] +*** Error: NON_EXISTING_PORT: Non existing port 'rst_n' of module 'fv_constraints_m' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:91 [compile index 1925] +*** Error: NON_EXISTING_PORT: Non existing port 'zeroize' of module 'fv_constraints_m' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:92 [compile index 1925] +*** Error: NON_EXISTING_PORT: Non existing port 'hmac_init' of module 'fv_constraints_m' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:93 [compile index 1925] +*** Error: NON_EXISTING_PORT: Non existing port 'hmac_next' of module 'fv_constraints_m' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:94 [compile index 1925] +*** Error: NON_EXISTING_PORT: Non existing port 'hmac_key' of module 'fv_constraints_m' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:95 [compile index 1925] +*** Warning: MISSING_PORT_CONNECTION: Instance 'fv_constraints' of module 'fv_constraints_m' is missing port connection to input 'init_cmd, next_cmd, reset_n' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:89 [compile index 1925] +*** Error: NON_EXISTING_PORT: Non existing port 'zeroize' of module 'fv_coverpoints_m' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_coverpoints.sv:60 [compile index 1927] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'key' of '384-bit' type from 'key' of '512-bit' type + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:57 [compile index 1926] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:164 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:166 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:168 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:170 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:172 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:174 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:176 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:178 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:180 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:182 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:184 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:186 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:188 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:190 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:192 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'slicer' exists in multiple imported packages ('fv_sha512_pkg', 'SHA512_masked_pkg', and possibly others) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:194 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:479 [compile index 1776] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 503 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:503 [compile index 1776] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'SHA_Mode_in' of '32-bit' type from 'mode' of '2-bit' type + at line 1063 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:1063 [compile index 1776] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'i' of '32-bit' type from 'round_ctr_reg' of '7-bit' type + at line 1075 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:1075 [compile index 1776] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'p' of '32-bit' type from 'rnd_ctr_reg' of '7-bit' type + at line 1078 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:1078 [compile index 1776] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to array element of '32-bit' type from 'mode' of '2-bit' type + at line 900 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:900 [compile index 1776] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to array element of '32-bit' type from 'mode' of '2-bit' type + at line 918 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:918 [compile index 1776] +*** Warning: NON_STANDARD_FATAL_SYSTEM_TASK_FIRST_ARGUMENT: Expecting 0, 1 or 2 as first argument to '$fatal' system task + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/mem_if.sv:51 [compile index 1799] +*** Warning: NON_STANDARD_FATAL_SYSTEM_TASK_FIRST_ARGUMENT: Expecting 0, 1 or 2 as first argument to '$fatal' system task + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/interfaces/mem_if.sv:61 [compile index 1799] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_sequencer.sv:121 [compile index 1554] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:188 [compile index 1623] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:189 [compile index 1623] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'state' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:87 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:88 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:89 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:90 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:91 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:92 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:93 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:94 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:95 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:96 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:97 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:98 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:99 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:100 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:101 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:102 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tms' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:103 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'shift_dr' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:157 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'capture_dr' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:164 [compile index 1952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_INPUT_RATE' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:64 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_NUM_SAMPLERS' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:69 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_SAMPLE_W' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:69 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_BUFFER_W' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:130 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_INPUT_RATE' is not declared + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:131 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_OUTPUT_RATE' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:132 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_NUM_SAMPLERS' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:146 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_SAMPLE_W' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:147 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_TAU' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:148 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_INPUT_RATE' is not declared + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:334 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_INPUT_RATE' is not declared + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:382 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SIB_PISO_INPUT_RATE' is not declared + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:383 [compile index 1545] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:187 [compile index 1545] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'exp_result' of '23-bit' type from 'exp_res_read.substr().atohex()' of '32-bit' type + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:297 [compile index 1545] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:29 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:29 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:30 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:31 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:32 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:56 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:56 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:57 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:58 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:59 [compile index 1729] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_NEW' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:48 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_NEW' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:65 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_BIT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:65 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_NEW' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:82 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_BIT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:82 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_NEW' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:99 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_BIT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:99 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_NEW' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:116 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DIV_BIT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:116 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:78 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:101 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:109 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:110 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:117 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:118 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:125 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:125 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:125 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:153 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:153 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:153 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:154 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:154 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:154 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:155 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:155 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:155 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:156 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:156 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:156 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:157 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:157 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:157 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:158 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:158 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:158 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:159 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:159 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:159 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:160 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:160 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:160 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:161 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:161 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:161 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:162 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:162 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:162 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:163 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:163 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:163 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:164 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:164 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:164 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:165 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:165 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:165 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:166 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:166 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:166 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:167 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:167 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:167 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:168 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_MASK7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:168 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ADDR7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:168 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:170 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:199 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:199 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:199 [compile index 1758] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv:81 [compile index 874] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv:77 [compile index 874] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv:77 [compile index 874] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv:65 [compile index 874] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:33 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:33 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:33 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:33 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:127 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'super' + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:138 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:165 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:180 [compile index 907] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:228 [compile index 907] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:34 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:34 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:34 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:34 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:50 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:73 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:73 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:79 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:79 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:86 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:97 [compile index 908] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:126 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:130 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:136 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:142 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:144 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:160 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:171 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:179 [compile index 908] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:66 [compile index 908] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:66 [compile index 908] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:151 [compile index 908] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:59 [compile index 909] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:66 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:67 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:67 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:75 [compile index 909] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:79 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:81 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:81 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:83 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:85 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:88 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:91 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:92 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:96 [compile index 909] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:52 [compile index 910] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:59 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:60 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:60 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:70 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:78 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:88 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:89 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:90 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:90 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:91 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:92 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:92 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:94 [compile index 910] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:94 [compile index 910] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:34 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:34 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:34 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:34 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:57 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:89 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:90 [compile index 912] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:99 [compile index 912] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:81 [compile index 471] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_req_t' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:89 [compile index 471] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_resp_t' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:90 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cs' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:211 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:212 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:213 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:214 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:215 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:218 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:219 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:221 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp_i' (type 'mbox_sram_resp_t' is undeclared) + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:224 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp_i' (type 'mbox_sram_resp_t' is undeclared) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:225 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:73 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:73 [compile index 471] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:73 [compile index 471] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:77 [compile index 471] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:77 [compile index 471] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:65 [compile index 471] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh:35 [compile index 913] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh:35 [compile index 913] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh:35 [compile index 913] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh:54 [compile index 913] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_random_sequence.svh:58 [compile index 913] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh:34 [compile index 914] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh:34 [compile index 914] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh:34 [compile index 914] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh:46 [compile index 914] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_responder_sequence.svh:47 [compile index 914] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:46 [compile index 916] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:54 [compile index 916] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:55 [compile index 916] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:55 [compile index 916] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:59 [compile index 916] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:59 [compile index 916] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_reset_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:35 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:35 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:35 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:56 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:62 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:75 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:89 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:100 [compile index 917] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_sequence_base.svh:109 [compile index 917] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_poweron_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_poweron_sequence.svh:35 [compile index 918] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_poweron_sequence.svh:35 [compile index 918] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_poweron_sequence.svh:35 [compile index 918] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_rom_poweron_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh:35 [compile index 919] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh:35 [compile index 919] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh:35 [compile index 919] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh:49 [compile index 919] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_rom_poweron_sequence.svh:56 [compile index 919] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_reset_warm_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_warm_sequence.svh:35 [compile index 920] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_warm_sequence.svh:35 [compile index 920] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_warm_sequence.svh:35 [compile index 920] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_reset_cold_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_cold_sequence.svh:35 [compile index 921] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_cold_sequence.svh:35 [compile index 921] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_reset_cold_sequence.svh:35 [compile index 921] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:33 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:33 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:33 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:33 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:36 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:37 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:38 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:112 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:150 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:170 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:55 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:55 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:55 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:74 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:74 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:74 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:93 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:93 [compile index 588] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:93 [compile index 588] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:95 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:140 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:142 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:144 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:146 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:148 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:150 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:152 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:154 [compile index 301] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:156 [compile index 301] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:169 [compile index 301] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:169 [compile index 301] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:88 [compile index 301] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_wdt_cascade_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh:35 [compile index 922] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh:35 [compile index 922] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh:35 [compile index 922] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh:54 [compile index 922] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_cascade_sequence.svh:61 [compile index 922] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_wdt_independent_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:35 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:35 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:35 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:54 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:62 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:67 [compile index 923] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_wdt_independent_sequence.svh:75 [compile index 923] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:33 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:33 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:33 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:33 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:115 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'super' + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:126 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:153 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:176 [compile index 308] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:111 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:133 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:133 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:151 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:167 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBB' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:177 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:177 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:177 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBF' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:177 [compile index 1737] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:189 [compile index 1737] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:34 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:34 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:34 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:34 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:64 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:64 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:70 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:70 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:77 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:88 [compile index 309] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:117 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:121 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:127 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:133 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:135 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:151 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:162 [compile index 309] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:170 [compile index 309] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:57 [compile index 309] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:57 [compile index 309] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:142 [compile index 309] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_perm_test.svh:27 [compile index 1127] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'error_ctr' of '64-bit' type from 'err_count' of '32-bit' type + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_perm_test.svh:134 [compile index 1127] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mask' is not a member of 'fuse_key_manifest_pk_hash_mask' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:36 [compile index 1128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_mldsa_revocation' of '32-bit' type from 'value' of '4-bit' type + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:43 [compile index 1128] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:99 [compile index 1128] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:178 [compile index 1128] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:269 [compile index 1128] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:272 [compile index 1128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'regval' of '32-bit' type from 'fuse_key_manifest_pk_hash_mask' of '4-bit' type + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:277 [compile index 1128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'regval' of '32-bit' type from 'fuse_anti_rollback_disable' of '1-bit' type + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:279 [compile index 1128] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'data' of '32-bit' type from 'lock_value' of '1-bit' type + at line 326 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_pauser_test.svh:326 [compile index 1128] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_test.svh:25 [compile index 1129] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'error_ctr' of '64-bit' type from 'err_count' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/fuse_reg_test.svh:105 [compile index 1129] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:31 [compile index 1130] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:65 [compile index 1130] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:65 [compile index 1130] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_INTR_BRF_NOTIF_INTERNAL_INTR_R' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:70 [compile index 1130] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:77 [compile index 1130] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'error_ctr' of '64-bit' type from 'err_count' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/single_soc_reg_test.svh:86 [compile index 1130] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:25 [compile index 1131] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:31 [compile index 1131] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:36 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_security_state_byname' is not used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:41 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:48 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:57 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:64 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'error_ctr' of '64-bit' type from 'err_count' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:103 [compile index 1131] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:117 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_security_state_byname' is not used + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:134 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:150 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:186 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:200 [compile index 1131] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:201 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:224 [compile index 1131] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'error_ctr' of '64-bit' type from 'err_count' of '32-bit' type + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_reset_test.svh:244 [compile index 1131] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:26 [compile index 1132] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:43 [compile index 1132] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:44 [compile index 1132] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'del_from_strq' is not used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:45 [compile index 1132] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'delm_from_strq' is not used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:48 [compile index 1132] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:65 [compile index 1132] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_test.svh:65 [compile index 1132] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:28 [compile index 1133] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:29 [compile index 1133] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:34 [compile index 1133] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:35 [compile index 1133] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:40 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:70 [compile index 1133] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:70 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:79 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:87 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:123 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:130 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:176 [compile index 1133] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:182 [compile index 1133] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:206 [compile index 1133] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/rvtime_reg_test.svh:231 [compile index 1133] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_internal_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:102 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_inv_dev_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:103 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_cmd_fail_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:104 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_bad_fuse_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:105 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_iccm_blocked_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:106 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_mbox_ecc_unc_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:107 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_wdt_timer1_timeout_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:108 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'error_wdt_timer2_timeout_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:109 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'notif_cmd_avail_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:110 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'notif_mbox_ecc_cor_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:111 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'notif_debug_locked_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:112 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'notif_soc_req_lock_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:113 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'notif_gen_in_toggle_intr_count_r' of '1-bit' type from 'value' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:114 [compile index 1135] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:126 [compile index 1135] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:133 [compile index 1135] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:138 [compile index 1135] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:139 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'indata' of '32-bit' type from 'error_global_intr_r' of '1-bit' type + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:159 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'indata' of '32-bit' type from 'error_global_intr_r' of '1-bit' type + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:160 [compile index 1135] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:174 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:174 [compile index 1135] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:283 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'up' of '1-bit' type from 'changeup' of '32-bit' type + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:299 [compile index 1135] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'dn' of '1-bit' type from 'changedn' of '32-bit' type + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:299 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Returning 'error_internal_intr_r' of '8-bit' type for function of '32-bit' return type + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:387 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Returning 'error_intr_trig_r' of '8-bit' type for function of '32-bit' return type + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:387 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Returning 'notif_internal_intr_r' of '6-bit' type for function of '32-bit' return type + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:387 [compile index 1135] +*** Warning: WIDTH_MISMATCH_PADDING: Returning 'notif_intr_trig_r' of '6-bit' type for function of '32-bit' return type + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:387 [compile index 1135] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:34 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:34 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:34 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:34 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:71 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:71 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:77 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:77 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:84 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:95 [compile index 589] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:124 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:128 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:134 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:140 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:142 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:158 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:169 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:177 [compile index 589] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:64 [compile index 589] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:64 [compile index 589] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:149 [compile index 589] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:59 [compile index 590] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:66 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:67 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:67 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:75 [compile index 590] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:79 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:81 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:81 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:83 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:85 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:88 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:91 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:92 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:96 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:44 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:44 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:44 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:49 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:49 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:49 [compile index 590] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:52 [compile index 591] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:59 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:60 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:60 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:70 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:78 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:88 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:89 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:90 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:90 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:91 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:92 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:92 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:94 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:94 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:43 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:43 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:43 [compile index 591] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction_coverage.svh:43 [compile index 592] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction_coverage.svh:44 [compile index 592] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction_coverage.svh:45 [compile index 592] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:34 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:34 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:34 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:34 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:57 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:89 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:90 [compile index 593] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:99 [compile index 593] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh:35 [compile index 594] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh:35 [compile index 594] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh:35 [compile index 594] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh:54 [compile index 594] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_random_sequence.svh:58 [compile index 594] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:34 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:34 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:34 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_AND_ECC_W' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:38 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:40 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:69 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:70 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 'req' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:78 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:83 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:86 [compile index 595] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_data_t' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:92 [compile index 595] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_data_t' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:93 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'wdata' (type 'mbox_sram_data_t' is undeclared) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:94 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ecc' is not a member of 'wdata' (type 'mbox_sram_data_t' is undeclared) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:95 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:108 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'wdata' (type 'mbox_sram_data_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:109 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:111 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ecc' is not a member of 'wdata' (type 'mbox_sram_data_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_responder_sequence.svh:111 [compile index 595] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:54 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:76 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:95 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:122 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:125 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:133 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:134 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:138 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:142 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:145 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:147 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:152 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:188 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:188 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:189 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:190 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:191 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:194 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:195 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:196 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:196 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:197 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:200 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:201 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:201 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:202 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:259 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:260 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:290 [compile index 1445] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:47 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:55 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:56 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:56 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:60 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:60 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:68 [compile index 597] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:59 [compile index 310] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:66 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:67 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:67 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:75 [compile index 310] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:79 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:81 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:81 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:83 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:85 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:88 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:91 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:92 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:96 [compile index 310] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:55 [compile index 311] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:62 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:63 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:63 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:73 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:81 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:91 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:93 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:95 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:96 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:96 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:97 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:98 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:98 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:100 [compile index 311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:100 [compile index 311] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:34 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:34 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:34 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:34 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:57 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:89 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:90 [compile index 313] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:99 [compile index 313] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh:35 [compile index 314] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh:35 [compile index 314] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh:35 [compile index 314] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh:54 [compile index 314] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_random_sequence.svh:58 [compile index 314] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mbox_sram_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram2reg_adapter.svh:79 [compile index 596] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_ctrl_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl2reg_adapter.svh:79 [compile index 915] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'rnd' in sequential logic (use non-blocking assignment) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:83 [compile index 2022] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh:34 [compile index 315] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh:34 [compile index 315] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh:34 [compile index 315] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh:46 [compile index 315] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_responder_sequence.svh:47 [compile index 315] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:47 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:55 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:56 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:56 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:60 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:60 [compile index 317] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:68 [compile index 317] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_status_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status2reg_adapter.svh:79 [compile index 316] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:208 [compile index 1422] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:526 [compile index 1422] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/five_squeeze_test.svh:63 [compile index 1423] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/five_squeeze_test.svh:118 [compile index 1423] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'update_CPTRA_FLOW_STATUS' is not used + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/sha_acc_intrblk_test.svh:98 [compile index 1136] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'fuse_ready_val' of '32-bit' type from 'ready_for_fuses' of '1-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/sha_acc_intrblk_test.svh:98 [compile index 1136] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/sha_acc_intrblk_test.svh:106 [compile index 1136] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:32 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:33 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:34 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:35 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:49 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:59 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:94 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:283 [compile index 1546] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:40 [compile index 1546] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:41 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:34 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:35 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:36 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:37 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:38 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:39 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:42 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:43 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:46 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:60 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:61 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:65 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:65 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:66 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:68 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:71 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:75 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:81 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:82 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:83 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:120 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:131 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:148 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_init' is not a member of 'sha256' + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:741 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_next' is not a member of 'sha256' + at line 742 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:742 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_mode' is not a member of 'sha256' + at line 743 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:743 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'zeroize_reg' is not a member of 'sha256' + at line 744 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:744 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 746 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:746 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 747 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:747 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 748 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:748 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 749 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:749 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 750 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:750 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 751 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:751 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 752 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:752 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 753 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:753 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 754 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:754 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 755 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:755 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 756 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:756 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 757 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:757 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 758 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:758 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 759 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:759 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 760 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:760 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 761 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:761 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 767 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:767 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 768 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:768 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 769 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:769 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 770 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:770 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 771 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:771 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 772 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:772 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 773 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:773 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 774 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:774 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_init' is not a member of 'sha256' + at line 779 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:779 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_next' is not a member of 'sha256' + at line 780 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:780 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_mode' is not a member of 'sha256' + at line 781 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:781 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'zeroize_reg' is not a member of 'sha256' + at line 782 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:782 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 784 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:784 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 785 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:785 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 786 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:786 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 787 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:787 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 789 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:789 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 790 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:790 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 791 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:791 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 792 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:792 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 793 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:793 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 794 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:794 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 796 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:796 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 802 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:802 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 803 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:803 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 804 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:804 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 805 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:805 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 806 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:806 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 807 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:807 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_init' is not a member of 'sha256' + at line 812 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:812 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_next' is not a member of 'sha256' + at line 813 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:813 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_mode' is not a member of 'sha256' + at line 814 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:814 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'zeroize_reg' is not a member of 'sha256' + at line 815 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:815 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 817 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:817 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 818 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:818 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 819 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:819 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 820 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:820 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 822 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:822 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 823 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:823 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 824 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:824 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 825 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:825 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 826 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:826 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 827 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:827 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 828 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:828 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 829 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:829 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_block' is not a member of 'sha256' + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:831 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 837 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:837 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 838 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:838 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 839 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:839 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 840 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:840 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 841 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:841 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 842 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:842 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 843 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:843 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'core_digest' is not a member of 'sha256' + at line 844 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:844 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 849 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:849 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 850 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:850 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 851 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:851 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 852 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:852 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 853 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:853 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 854 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:854 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 855 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:855 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 856 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:856 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 858 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:858 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 859 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:859 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 860 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:860 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 861 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:861 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 862 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:862 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 863 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:863 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 864 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:864 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 865 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:865 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 866 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:866 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 867 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:867 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 868 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:868 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 869 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:869 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 870 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:870 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 871 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:871 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 872 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:872 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_out' is not a member of 'sha256' + at line 873 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:873 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_in' is not a member of 'sha256' + at line 879 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:879 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'hwif_in' is not a member of 'sha256' + at line 880 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:880 [compile index 1769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_req_t' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv:47 [compile index 1585] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_resp_t' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv:48 [compile index 1585] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv:80 [compile index 883] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv:76 [compile index 883] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv:76 [compile index 883] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv:64 [compile index 883] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:45 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:51 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:52 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:53 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:54 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:55 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:56 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:57 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:58 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:59 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:60 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:66 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:67 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:68 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:69 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:70 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:71 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:72 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:73 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:78 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:79 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:81 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:82 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:83 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:97 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:98 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:99 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:100 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:101 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:111 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:175 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:176 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:178 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:179 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:180 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:181 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:182 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:185 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:240 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:241 [compile index 1762] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:33 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:33 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:33 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:33 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:120 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'super' + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:131 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:158 [compile index 893] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:186 [compile index 893] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:34 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:34 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:34 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:34 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:64 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:64 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:70 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:70 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:77 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:88 [compile index 894] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:117 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:121 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:127 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:133 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:135 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:151 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:162 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:170 [compile index 894] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:57 [compile index 894] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:57 [compile index 894] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:142 [compile index 894] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:59 [compile index 895] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:66 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:67 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:67 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:75 [compile index 895] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:79 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:81 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:81 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:83 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:85 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:88 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:91 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:92 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:96 [compile index 895] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:54 [compile index 896] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:61 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:62 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:62 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:72 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:80 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:90 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:92 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:94 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:95 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:95 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:96 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:97 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:97 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:99 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:99 [compile index 896] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_RESET' is not declared + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:201 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:217 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:265 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:265 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_RESET' is not declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:281 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:301 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:309 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:334 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 335 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:335 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_RESET' is not declared + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:354 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:412 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 441 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:441 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:478 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 723 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:723 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:724 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:735 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 736 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:736 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sha_block_dest_valid' is not a member of 'ecc_kv_wr_pkey_ctrl' + at line 809 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:809 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 823 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:823 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 823 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:823 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 830 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:830 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:831 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 834 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:834 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 841 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:841 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 842 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:842 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'privkey_out_we' is not a member of 'ecc_dsa_ctrl' + at line 852 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:852 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kv_read_data_present' is not a member of 'ecc_dsa_ctrl' + at line 853 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:853 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 892 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:892 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 904 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:904 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1041 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1041 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1053 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1053 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1073 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1073 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1143 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1180 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1192 [compile index 1530] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 1204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:1204 [compile index 1530] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'addr' in sequential logic (use non-blocking assignment) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:72 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd7}]' in sequential logic (use non-blocking assignment) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:74 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd6}]' in sequential logic (use non-blocking assignment) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:75 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd5}]' in sequential logic (use non-blocking assignment) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:76 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd4}]' in sequential logic (use non-blocking assignment) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:77 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd3}]' in sequential logic (use non-blocking assignment) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:78 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd2}]' in sequential logic (use non-blocking assignment) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:79 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd1}]' in sequential logic (use non-blocking assignment) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:80 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd0}]' in sequential logic (use non-blocking assignment) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:81 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'ok' in sequential logic (use non-blocking assignment) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:91 [compile index 1833] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ok' of '1-bit' type from 'std::randomize() with (iws dis...' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:91 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'ok' in sequential logic (use non-blocking assignment) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:93 [compile index 1833] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ok' of '1-bit' type from 'std::randomize() with (dws dis...' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:93 [compile index 1833] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:170 [compile index 1522] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:34 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:34 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:34 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:34 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:57 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:89 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:90 [compile index 898] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:99 [compile index 898] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh:35 [compile index 899] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh:35 [compile index 899] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh:35 [compile index 899] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh:54 [compile index 899] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_random_sequence.svh:58 [compile index 899] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh:34 [compile index 900] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh:34 [compile index 900] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh:34 [compile index 900] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh:46 [compile index 900] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_responder_sequence.svh:47 [compile index 900] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:47 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:55 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:56 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:56 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:60 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:60 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:68 [compile index 902] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:79 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:81 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:84 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:130 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:130 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:131 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:132 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:133 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:271 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:272 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:272 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:273 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:275 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:289 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:290 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:386 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:386 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:387 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 388 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:388 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 389 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec.sv:389 [compile index 1667] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:391 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:391 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:392 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 396 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:396 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:454 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:455 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:456 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 457 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:457 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:458 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:459 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 461 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:461 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:462 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:468 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:472 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 474 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:474 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 477 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:477 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:481 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:484 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:489 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 490 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:490 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 496 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:496 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 612 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:612 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 633 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:633 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 638 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:638 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 651 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:651 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 660 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:660 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 663 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:663 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 671 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:671 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 691 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:691 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:696 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 709 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:709 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 716 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:716 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 719 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:719 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 727 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:727 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 747 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:747 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 752 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:752 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 765 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:765 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 774 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:774 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 777 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:777 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 785 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:785 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 800 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:800 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 817 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:817 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 823 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:823 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:831 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 842 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:842 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 853 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:853 [compile index 1633] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:73 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:75 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:78 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:96 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:97 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:98 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:99 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:100 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:101 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:103 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:104 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:118 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:150 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:155 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:180 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:274 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:275 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:276 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:277 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:278 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:281 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:282 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:283 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:284 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:304 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:321 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 322 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:322 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:379 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:380 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:383 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:384 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 389 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:389 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:390 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 397 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:397 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 398 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:398 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:399 [compile index 1682] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 400 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:400 [compile index 1682] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'clk_count' in sequential logic (use non-blocking assignment) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:57 [compile index 1953] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_status_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status2reg_adapter.svh:79 [compile index 901] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv:185 [compile index 1764] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LOAD_TO_USE_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv:257 [compile index 1764] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:69 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:102 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:598 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:598 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:599 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:599 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:600 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 738 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:738 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 761 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:761 [compile index 1665] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DSA_NOP' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:104 [compile index 1529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv:107 [compile index 1749] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv:133 [compile index 1749] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv:220 [compile index 1749] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv:80 [compile index 366] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv:76 [compile index 366] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv:76 [compile index 366] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv:64 [compile index 366] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:37 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:72 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:73 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:209 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 307 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:307 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:312 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:318 [compile index 1533] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:319 [compile index 1533] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'en_lat' in sequential logic (use non-blocking assignment) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_icg.sv:27 [compile index 279] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:68 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:71 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:82 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:82 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:83 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:84 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:86 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:119 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:120 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:126 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:164 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:164 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:192 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:192 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:192 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:193 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:193 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:193 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:198 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:198 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:199 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:199 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:204 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:221 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:309 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 327 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:327 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 506 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:506 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 579 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:579 [compile index 1743] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:33 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:33 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:33 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:33 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:109 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'super' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:120 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:147 [compile index 574] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:161 [compile index 574] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:34 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:34 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:34 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:34 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:64 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:64 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:70 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:70 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:77 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:88 [compile index 575] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:117 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:121 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:127 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:133 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:135 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:151 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:162 [compile index 575] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:170 [compile index 575] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:57 [compile index 575] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:57 [compile index 575] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:142 [compile index 575] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:96 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:135 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:137 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:139 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:141 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:143 [compile index 877] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:145 [compile index 877] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:158 [compile index 877] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:158 [compile index 877] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:89 [compile index 877] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:96 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_resp_t' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:110 [compile index 480] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_resp_t' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:112 [compile index 480] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_sram_req_t' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:116 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_sram_req_t' is not declared + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:117 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:128 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:133 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp_o' (type 'mbox_sram_resp_t' is undeclared) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:304 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rdata' is not a member of 'mbox_sram_resp_o' (type 'mbox_sram_resp_t' is undeclared) + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:305 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cs' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:309 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:312 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:313 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:314 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wdata' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:315 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:316 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:316 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:317 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:317 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:319 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:320 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'we' is not a member of 'mbox_sram_req_i' (type 'mbox_sram_req_t' is undeclared) + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:320 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'proxy' + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:321 [compile index 480] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:146 [compile index 480] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:146 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:152 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:152 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:152 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ADDR_W' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:156 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:156 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ECC_DATA_W' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:156 [compile index 480] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:89 [compile index 480] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:79 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:80 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:81 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:82 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:83 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:84 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:86 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:87 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:90 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:103 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:104 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:107 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:113 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:114 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:119 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:123 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:127 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:134 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:166 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:171 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:196 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:210 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:237 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:242 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:261 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:272 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:299 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 306 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:306 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:329 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:338 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:355 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:360 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 369 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:369 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:458 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:488 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:491 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 501 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:501 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:504 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:514 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 517 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:517 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 527 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:527 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 530 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:530 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 540 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:540 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:543 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 553 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:553 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 556 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:556 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 564 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:564 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:585 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:598 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 610 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:610 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 693 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:693 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 695 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:695 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 697 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:697 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 732 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:732 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 733 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:733 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 734 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:734 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 734 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:734 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:735 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 737 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:737 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 747 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:747 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 747 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:747 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 785 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:785 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 785 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:785 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 786 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:786 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 787 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:787 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 789 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:789 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 790 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:790 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 795 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:795 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 796 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:796 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 796 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:796 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 797 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:797 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 830 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:830 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:831 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1003 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1003 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1037 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1037 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1042 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1042 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1059 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1059 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1074 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1074 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1083 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1083 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1110 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1359 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1360 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1361 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1362 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1363 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1364 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1364 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1365 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1366 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1366 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1367 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1367 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1367 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1367 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1368 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1369 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1369 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1370 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1372 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1372 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1373 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1374 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1375 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AHB_LITE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1376 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1377 [compile index 1645] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:167 [compile index 248] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:257 [compile index 248] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'exp_result' of '24-bit' type from 'line_read.substr().atohex()' of '32-bit' type + at line 372 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:372 [compile index 248] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:88 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:90 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_NUM_NBLOAD_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:93 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:105 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:137 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:142 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:167 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:329 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_intf.sv:371 [compile index 1686] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:59 [compile index 576] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:66 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:67 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:67 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:75 [compile index 576] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:79 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:81 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:81 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:83 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:85 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:88 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:91 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:92 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:96 [compile index 576] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:52 [compile index 577] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:59 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:60 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:60 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:70 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:78 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:88 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:89 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:90 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:90 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:91 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:92 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:92 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:94 [compile index 577] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:94 [compile index 577] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:34 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:34 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:34 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:34 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:57 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:89 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:90 [compile index 579] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:99 [compile index 579] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh:35 [compile index 580] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh:35 [compile index 580] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh:35 [compile index 580] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh:54 [compile index 580] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_random_sequence.svh:58 [compile index 580] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh:34 [compile index 581] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh:34 [compile index 581] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh:34 [compile index 581] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh:46 [compile index 581] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_responder_sequence.svh:47 [compile index 581] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:46 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:54 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:55 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:55 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:59 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:59 [compile index 583] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv:88 [compile index 1741] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBC' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv:99 [compile index 1741] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBP' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv:112 [compile index 1741] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv:133 [compile index 1741] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BITMANIP_ZBF' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_mul_ctl.sv:152 [compile index 1741] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'cptra_ctrl_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl2reg_adapter.svh:79 [compile index 582] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sca_en_i' is not a member of 'ecc_arith_unit' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_arith_unit_coverpoints.sv:65 [compile index 1890] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:38 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:39 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:39 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:40 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:89 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:89 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:93 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:97 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:98 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:99 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:99 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:100 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:127 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:128 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:133 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:157 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:157 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:157 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:157 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:169 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:170 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:178 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:179 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:296 [compile index 1735] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:175 [compile index 1544] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 264 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:264 [compile index 1544] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'exp_result' of '24-bit' type from 'line_read.substr().atohex()' of '32-bit' type + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:379 [compile index 1544] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:25 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:25 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:34 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:35 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:36 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:36 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:36 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:38 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:39 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:39 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:40 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:41 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:41 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:46 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:47 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:48 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:48 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:48 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:49 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:49 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:50 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:51 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:51 [compile index 1756] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_mem_if.sv:52 [compile index 1756] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:216 [compile index 1534] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:384 [compile index 1534] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sca_en_i' is not a member of 'ecc_pm_ctrl' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/coverpoints/fv_ecc_pm_ctrl_coverpoints_m.sv:32 [compile index 1714] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:198 [compile index 1536] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:176 [compile index 1857] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:265 [compile index 1857] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'exp_result' of '24-bit' type from 'line_read.substr().atohex()' of '32-bit' type + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:380 [compile index 1857] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:432 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 442 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:442 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 442 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:442 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 446 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:446 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 446 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:446 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:487 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:491 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:523 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 533 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:533 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 533 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:533 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'K' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 537 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:537 [compile index 1774] +*** Error: MULTIPLE_PACKAGES: Name 'compute_w' exists in multiple imported packages ('fv_sha256_core_pkg', 'fv_sha512_pkg', and possibly others) + at line 537 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:537 [compile index 1774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:256 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:257 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:1320 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'FAST_INTERRUPT_REDIRECT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1505 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:1505 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BUILD_AXI4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1985 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:1985 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_ECC' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 2317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:2317 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_ECC' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 2350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:2350 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'FAST_INTERRUPT_REDIRECT' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 2659 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:2659 [compile index 1637] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'TIMER_LEGAL_EN' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 2904 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:2904 [compile index 1637] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv:80 [compile index 298] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv:76 [compile index 298] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv:76 [compile index 298] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv:64 [compile index 298] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ntt_temp_address[0]' of '14-bit' type from 'MLDSA_TEMP0_BASE' of '15-bit' type + at line 1200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv:1200 [compile index 756] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ntt_temp_address[0]' of '14-bit' type from 'MLDSA_TEMP3_BASE' of '15-bit' type + at line 1200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv:1200 [compile index 756] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ntt_temp_address[1]' of '14-bit' type from 'MLDSA_TEMP0_BASE' of '15-bit' type + at line 1500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv:1500 [compile index 756] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'ntt_temp_address[1]' of '14-bit' type from 'MLDSA_TEMP3_BASE' of '15-bit' type + at line 1500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv:1500 [compile index 756] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'prime' of '1920-bit' type from '{16'hfceb, 16'hfcfb, 16'hfd0d,...' of '80-bit' type + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:176 [compile index 1842] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'mu_word' of '20-bit' type from '{2'd1, 2'd1, 2'd3, 2'd1, 2'd3}' of '10-bit' type + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:177 [compile index 1842] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'mu_word' of '240-bit' type from '{2'd1, 2'd1, 2'd3, 2'd1, 2'd3}' of '10-bit' type + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:177 [compile index 1842] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'rinv' of '1920-bit' type from '{16'hce7, 16'h92b3, 16'h38e5, ...' of '80-bit' type + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:178 [compile index 1842] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'coefficients[i]' of '24-bit' type from '{24'h0, API_rd_data[COEFF_WIDT...' of '34-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/rtl/pkdecode.sv:125 [compile index 1801] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '0' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:69 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '1' of '32-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:70 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '2' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:71 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '3' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:72 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '4' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:73 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '5' of '32-bit' type + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:74 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '6' of '32-bit' type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:75 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '7' of '32-bit' type + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:76 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '8' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:77 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '9' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:78 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '10' of '32-bit' type + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:79 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '11' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:80 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 1)' of '32-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:84 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 2)' of '32-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:85 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 3)' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:86 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 4)' of '32-bit' type + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:87 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 5)' of '32-bit' type + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:88 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 6)' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:89 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 7)' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:90 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 8)' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:91 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 9)' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:92 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 10)' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:93 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 11)' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:94 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_KG_S + 12)' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:95 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 1)' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:99 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 2)' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:100 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 3)' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:101 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 4)' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:102 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 5)' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:103 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 6)' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:104 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 7)' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:105 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 8)' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:106 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 9)' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:107 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 10)' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:108 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 11)' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:109 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 12)' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:110 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 13)' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:111 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_SGN_S + 14)' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:112 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 1)' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:116 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 2)' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:117 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 3)' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:118 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 4)' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:119 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 5)' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:120 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 6)' of '32-bit' type + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:121 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 7)' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:122 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 8)' of '32-bit' type + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:123 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 9)' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:124 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 10)' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:125 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 11)' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:126 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 12)' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:127 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 13)' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:128 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 14)' of '32-bit' type + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:129 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 15)' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:130 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 16)' of '32-bit' type + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:131 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 17)' of '32-bit' type + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:132 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 18)' of '32-bit' type + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:133 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 19)' of '32-bit' type + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:134 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 20)' of '32-bit' type + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:135 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 21)' of '32-bit' type + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:136 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 22)' of '32-bit' type + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:137 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DSA_VER_S + 23)' of '32-bit' type + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:138 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 1)' of '32-bit' type + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:143 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 2)' of '32-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:144 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 3)' of '32-bit' type + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:145 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 4)' of '32-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:146 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 5)' of '32-bit' type + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:147 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 6)' of '32-bit' type + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:148 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 7)' of '32-bit' type + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:149 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 8)' of '32-bit' type + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:150 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 9)' of '32-bit' type + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:151 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 10)' of '32-bit' type + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:152 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 11)' of '32-bit' type + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:153 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 12)' of '32-bit' type + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:154 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 13)' of '32-bit' type + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:155 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 14)' of '32-bit' type + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:156 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 15)' of '32-bit' type + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:157 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 16)' of '32-bit' type + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:158 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '7-bit' type and item expression '(DH_SHARED_S + 17)' of '32-bit' type + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_sequencer.sv:159 [compile index 1555] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'tl_i.a_size' of '2-bit' type and item expression ''h0' of '32-bit' type + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:63 [compile index 708] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'tl_i.a_size' of '2-bit' type and item expression ''h1' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:69 [compile index 708] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'tl_i.a_size' of '2-bit' type and item expression ''h2' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:77 [compile index 708] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'a' of '18-bit' type for function of '16-bit' return type + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:61 [compile index 1899] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'a' of '20-bit' type for function of '16-bit' return type + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:61 [compile index 1899] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Returning 'a' of '432-bit' type for function of '384-bit' return type + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:61 [compile index 1899] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'b' of '16-bit' type from 'prime' of '1-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:122 [compile index 1899] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'b' of '384-bit' type from 'prime' of '1-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:122 [compile index 1899] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 1)' of '32-bit' type + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:57 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 2)' of '32-bit' type + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:58 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 3)' of '32-bit' type + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:59 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 4)' of '32-bit' type + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:60 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 5)' of '32-bit' type + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:61 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 6)' of '32-bit' type + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:62 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 7)' of '32-bit' type + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:63 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 8)' of '32-bit' type + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:64 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 9)' of '32-bit' type + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:66 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 10)' of '32-bit' type + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:67 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 11)' of '32-bit' type + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:68 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 12)' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:69 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 13)' of '32-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:70 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 14)' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:71 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 15)' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:72 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 16)' of '32-bit' type + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:74 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 17)' of '32-bit' type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:75 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 18)' of '32-bit' type + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:76 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 19)' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:77 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 20)' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:78 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 21)' of '32-bit' type + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:79 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 22)' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:80 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_INIT_S + 23)' of '32-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:81 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 2)' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:89 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 3)' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:90 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 4)' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:91 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 5)' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:92 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 6)' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:94 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 7)' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:95 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 8)' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:96 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 9)' of '32-bit' type + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:97 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 10)' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:99 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 11)' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:100 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 12)' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:101 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 13)' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:102 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 14)' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:104 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 15)' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:105 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 16)' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:106 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 17)' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:107 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 18)' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:109 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 19)' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:110 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 20)' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:111 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 21)' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:112 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 22)' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:114 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 23)' of '32-bit' type + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:115 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 24)' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:116 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 25)' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:117 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 26)' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:119 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 27)' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:120 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 28)' of '32-bit' type + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:121 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 29)' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:122 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 31)' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:126 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 32)' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:127 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 33)' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:128 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 34)' of '32-bit' type + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:129 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 35)' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:130 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 36)' of '32-bit' type + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:131 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 37)' of '32-bit' type + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:132 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 38)' of '32-bit' type + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:133 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 40)' of '32-bit' type + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:138 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 41)' of '32-bit' type + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:139 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 42)' of '32-bit' type + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:140 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 43)' of '32-bit' type + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:141 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 44)' of '32-bit' type + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:142 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 45)' of '32-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:144 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 46)' of '32-bit' type + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:145 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 47)' of '32-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:146 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 48)' of '32-bit' type + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:147 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 49)' of '32-bit' type + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:148 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 50)' of '32-bit' type + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:150 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 51)' of '32-bit' type + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:151 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 52)' of '32-bit' type + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:152 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 53)' of '32-bit' type + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:153 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 54)' of '32-bit' type + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:154 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 55)' of '32-bit' type + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:156 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 56)' of '32-bit' type + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:157 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 57)' of '32-bit' type + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:158 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 58)' of '32-bit' type + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:159 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 59)' of '32-bit' type + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:160 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 60)' of '32-bit' type + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:162 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 61)' of '32-bit' type + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:163 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 62)' of '32-bit' type + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:164 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 63)' of '32-bit' type + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:165 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 64)' of '32-bit' type + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:166 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 65)' of '32-bit' type + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:168 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 66)' of '32-bit' type + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:169 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 67)' of '32-bit' type + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:170 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 68)' of '32-bit' type + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:171 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 69)' of '32-bit' type + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:172 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 70)' of '32-bit' type + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:174 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 71)' of '32-bit' type + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:175 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 72)' of '32-bit' type + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:176 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 73)' of '32-bit' type + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:177 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 74)' of '32-bit' type + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:178 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 75)' of '32-bit' type + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:180 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 76)' of '32-bit' type + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:181 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 77)' of '32-bit' type + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:182 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 78)' of '32-bit' type + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:183 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 79)' of '32-bit' type + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:184 [compile index 322] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_SIGN_VALID_S + 81)' of '32-bit' type + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:188 [compile index 322] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd' of '8-bit' type from '$random' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_B2A_conv_tb.sv:95 [compile index 2003] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'x_boolean[j]' of '2-bit' type from '$random' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_B2A_conv_tb.sv:106 [compile index 2003] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_a_rd_data[i]' of '24-bit' type and item expression ''h0' of '32-bit' type + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:345 [compile index 1631] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_a_rd_data[i]' of '24-bit' type and item expression ''h1' of '32-bit' type + at line 349 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:349 [compile index 1631] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_a_rd_data[i]' of '24-bit' type and item expression ''h2' of '32-bit' type + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:353 [compile index 1631] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_b_rd_data[i]' of '24-bit' type and item expression ''h0' of '32-bit' type + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:371 [compile index 1631] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_b_rd_data[i]' of '24-bit' type and item expression ''h1' of '32-bit' type + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:375 [compile index 1631] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mem_b_rd_data[i]' of '24-bit' type and item expression ''h2' of '32-bit' type + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:379 [compile index 1631] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'size' of '3-bit' type from '$clog2()' of '32-bit' type + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_if.sv:215 [compile index 1694] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'size' of '3-bit' type from '$clog2()' of '32-bit' type + at line 307 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_if.sv:307 [compile index 1694] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'cmd_stage_shid[hai]' of '4-bit' type from 'hai' of '32-bit' type + at line 968 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv:968 [compile index 1523] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'result.poly_rnd_array[coeff_no...' of '3-bit' type from '$urandom_range()' of '32-bit' type + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:185 [compile index 1630] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'result.poly_rnd_array[coeff_no...' of '13-bit' type from '$urandom_range()' of '32-bit' type + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:197 [compile index 1630] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'dest_base_tb' of '14-bit' type from '15'h0' of '15-bit' type + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:252 [compile index 1630] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'dest_base_tb' of '14-bit' type from '15'h0' of '15-bit' type + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:414 [compile index 1630] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'res_0' of '3-bit' type from 's_0()' of '2-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_first.sv:104 [compile index 1902] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'res_0' of '49-bit' type from 's_0()' of '48-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_first.sv:104 [compile index 1902] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'res_0' of '5-bit' type from 's_0()' of '4-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_pe_first.sv:104 [compile index 1902] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'data_o' of '24-bit' type from '{1'b0, r0_reg}' of '25-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_unit.sv:101 [compile index 2034] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'data_o' of '24-bit' type from '{1'b0, r1}' of '25-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/rtl/sigdecode_z_unit.sv:101 [compile index 2034] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv:260 [compile index 1915] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'a' of '16-bit' type from 'val' of '21-bit' type + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv:290 [compile index 1915] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'b' of '16-bit' type from 'val' of '21-bit' type + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv:291 [compile index 1915] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'n' of '16-bit' type from 'val' of '21-bit' type + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv:293 [compile index 1915] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'product' of '16-bit' type from 'val' of '21-bit' type + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_montgomerymultiplier_tb.sv:296 [compile index 1915] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 's_axi_active' of '3-bit' type from '2'd0' of '2-bit' type + at line 694 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:694 [compile index 287] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx_tree[Pa]' of '5-bit' type from 'offset' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:73 [compile index 438] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx' of '5-bit' type from 'k' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:130 [compile index 438] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:249 [compile index 1884] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:312 [compile index 1884] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'start_time' of '32-bit' type from 'cycle_ctr' of '64-bit' type + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:404 [compile index 1884] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 1)' of '32-bit' type + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:56 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 2)' of '32-bit' type + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:57 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 3)' of '32-bit' type + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:60 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 4)' of '32-bit' type + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:63 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 5)' of '32-bit' type + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:64 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 6)' of '32-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:65 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 7)' of '32-bit' type + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:66 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 8)' of '32-bit' type + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:67 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 9)' of '32-bit' type + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:68 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 10)' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:69 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 11)' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:71 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 12)' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:72 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 13)' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:73 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 14)' of '32-bit' type + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:74 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 15)' of '32-bit' type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:75 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 16)' of '32-bit' type + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:76 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 17)' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:77 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 18)' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:78 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 19)' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:80 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 20)' of '32-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:81 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 21)' of '32-bit' type + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:82 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 22)' of '32-bit' type + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:83 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 23)' of '32-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:84 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 24)' of '32-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:85 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 25)' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:86 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 26)' of '32-bit' type + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:88 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 27)' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:89 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 28)' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:90 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 29)' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:91 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 30)' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:92 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 31)' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:93 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 32)' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:94 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 33)' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:96 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 34)' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:98 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 35)' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:100 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 36)' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:101 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 37)' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:102 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 38)' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:103 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 39)' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:104 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 40)' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:105 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 41)' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:106 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 42)' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:108 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 43)' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:110 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 44)' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:112 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 45)' of '32-bit' type + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:113 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 46)' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:114 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 47)' of '32-bit' type + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:115 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 48)' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:116 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 49)' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:117 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 50)' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:118 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 51)' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:120 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 52)' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:122 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 53)' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:124 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 54)' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:125 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 55)' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:126 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 56)' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:127 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 57)' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:128 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 58)' of '32-bit' type + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:129 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 59)' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:130 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 60)' of '32-bit' type + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:132 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 61)' of '32-bit' type + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:134 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 62)' of '32-bit' type + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:136 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 63)' of '32-bit' type + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:137 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 64)' of '32-bit' type + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:138 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 65)' of '32-bit' type + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:139 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 66)' of '32-bit' type + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:140 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 67)' of '32-bit' type + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:141 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 68)' of '32-bit' type + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:142 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 69)' of '32-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:144 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 70)' of '32-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:146 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 71)' of '32-bit' type + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:148 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 72)' of '32-bit' type + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:149 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 73)' of '32-bit' type + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:150 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 74)' of '32-bit' type + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:151 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 75)' of '32-bit' type + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:152 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 76)' of '32-bit' type + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:153 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 77)' of '32-bit' type + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:154 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 78)' of '32-bit' type + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:156 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 79)' of '32-bit' type + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:158 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 80)' of '32-bit' type + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:160 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 81)' of '32-bit' type + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:161 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 82)' of '32-bit' type + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:162 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 83)' of '32-bit' type + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:163 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 84)' of '32-bit' type + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:164 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 85)' of '32-bit' type + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:165 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 86)' of '32-bit' type + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:166 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 87)' of '32-bit' type + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:168 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 88)' of '32-bit' type + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:170 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 89)' of '32-bit' type + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:172 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 90)' of '32-bit' type + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:173 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 91)' of '32-bit' type + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:174 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 92)' of '32-bit' type + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:175 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 93)' of '32-bit' type + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:176 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 94)' of '32-bit' type + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:177 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 95)' of '32-bit' type + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:178 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 96)' of '32-bit' type + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:180 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 97)' of '32-bit' type + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:182 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 98)' of '32-bit' type + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:184 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 99)' of '32-bit' type + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:186 [compile index 324] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr_i' of '9-bit' type and item expression '(MLDSA_KG_S + 100)' of '32-bit' type + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:188 [compile index 324] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hint_4bit_tb' of '4-bit' type from 'i' of '32-bit' type + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:166 [compile index 1597] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'hintsum_mux_sel' of '8-bit' type and item expression ''h0' of '32-bit' type + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv:143 [compile index 1626] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'hintsum_mux_sel' of '8-bit' type and item expression ''h1' of '32-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv:144 [compile index 1626] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'hintsum_mux_sel' of '8-bit' type and item expression ''h2' of '32-bit' type + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv:145 [compile index 1626] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'hintsum_mux_sel' of '8-bit' type and item expression ''h3' of '32-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv:146 [compile index 1626] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'single_block' of '1025-bit' type from '1024'h616263800000000000000000...' of '1024-bit' type + at line 428 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:428 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:432 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 436 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:436 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:440 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 444 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:444 [compile index 1973] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'double_block_one' of '1025-bit' type from '1024'h616263646566676862636465...' of '1024-bit' type + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:448 [compile index 1973] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'double_block_two' of '1025-bit' type from '1024'h000000000000000000000000...' of '1024-bit' type + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:449 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:454 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:454 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:459 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:459 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:464 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:464 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:469 [compile index 1973] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:469 [compile index 1973] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'store_addr' of '6-bit' type from 'addr' of '1-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:102 [compile index 1898] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'store_addr' of '6-bit' type from 'addr' of '1-bit' type + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:161 [compile index 1898] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'wntz_n_mode_reg' of '1-bit' type and item expression '0' of '32-bit' type + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256.sv:319 [compile index 1579] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mode_reg' of '1-bit' type and item expression '0' of '32-bit' type + at line 325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256.sv:325 [compile index 1579] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'rounds_count' of '3-bit' type and item expression ''h0' of '32-bit' type + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:414 [compile index 1796] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'rounds_count' of '3-bit' type and item expression ''h1' of '32-bit' type + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:419 [compile index 1796] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'rounds_count' of '3-bit' type and item expression ''h2' of '32-bit' type + at line 424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:424 [compile index 1796] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'rounds_count' of '3-bit' type and item expression ''h3' of '32-bit' type + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:429 [compile index 1796] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mode' of '2-bit' type and item expression '0' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v:86 [compile index 1967] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mode' of '2-bit' type and item expression '1' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v:99 [compile index 1967] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mode' of '2-bit' type and item expression '2' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v:112 [compile index 1967] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'mode' of '2-bit' type and item expression '3' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_h_constants.v:125 [compile index 1967] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'tracked_mode' of '128-bit' type from 'keylen' of '1-bit' type + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv:314 [compile index 1656] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'mode' of '1-bit' type from 'tracked_mode' of '128-bit' type + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv:315 [compile index 1656] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'int_rnd' of '4-bit' type from 'rndcnt' of '1-bit' type + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv:329 [compile index 1656] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'int_rnd' of '4-bit' type from 'rndcnt' of '1-bit' type + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv:343 [compile index 1656] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '00' of '32-bit' type + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:62 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '01' of '32-bit' type + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:63 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '02' of '32-bit' type + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:64 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '03' of '32-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:65 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '04' of '32-bit' type + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:66 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '05' of '32-bit' type + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:67 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '06' of '32-bit' type + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:68 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '07' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:69 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '08' of '32-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:70 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '09' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:71 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '10' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:72 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '11' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:73 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '12' of '32-bit' type + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:74 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '13' of '32-bit' type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:75 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '14' of '32-bit' type + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:76 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '15' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:77 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '16' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:78 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '17' of '32-bit' type + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:79 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '18' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:80 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '19' of '32-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:81 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '20' of '32-bit' type + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:82 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '21' of '32-bit' type + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:83 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '22' of '32-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:84 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '23' of '32-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:85 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '24' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:86 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '25' of '32-bit' type + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:87 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '26' of '32-bit' type + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:88 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '27' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:89 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '28' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:90 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '29' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:91 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '30' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:92 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '31' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:93 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '32' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:94 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '33' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:95 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '34' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:96 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '35' of '32-bit' type + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:97 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '36' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:98 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '37' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:99 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '38' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:100 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '39' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:101 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '40' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:102 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '41' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:103 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '42' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:104 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '43' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:105 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '44' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:106 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '45' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:107 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '46' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:108 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '47' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:109 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '48' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:110 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '49' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:111 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '50' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:112 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '51' of '32-bit' type + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:113 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '52' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:114 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '53' of '32-bit' type + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:115 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '54' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:116 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '55' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:117 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '56' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:118 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '57' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:119 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '58' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:120 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '59' of '32-bit' type + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:121 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '60' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:122 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '61' of '32-bit' type + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:123 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '62' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:124 [compile index 1960] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'round' of '6-bit' type and item expression '63' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_k_constants.v:125 [compile index 1960] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'matrix_indices[j / NumSboxes][...' of '6-bit' type from 'j' of '32-bit' type + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_lfsr.sv:433 [compile index 738] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd' of '8-bit' type from '$random' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:98 [compile index 2002] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd_for_Boolean0' of '8-bit' type from '$random' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:99 [compile index 2002] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd_for_Boolean1' of '8-bit' type from '$random' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:100 [compile index 2002] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'x_comb' of '8-bit' type from '$random' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:108 [compile index 2002] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'x1_arith' of '8-bit' type from '$random' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:110 [compile index 2002] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'expected_sum' of '9-bit' type from 'x_comb' of '8-bit' type + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:145 [compile index 2002] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'actual_sum' of '9-bit' type from '{actual_sum, (s[j][0] ^ s[j][1...' of '10-bit' type + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_A2B_conv_tb.sv:151 [compile index 2002] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'single_block' of '1025-bit' type from '1024'h616263800000000000000000...' of '1024-bit' type + at line 997 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:997 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 1001 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1001 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 1005 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1005 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 1009 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1009 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block' of '1024-bit' type from 'single_block' of '1025-bit' type + at line 1013 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1013 [compile index 509] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'double_block_one' of '1025-bit' type from '1024'h616263646566676862636465...' of '1024-bit' type + at line 1017 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1017 [compile index 509] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'double_block_two' of '1025-bit' type from '1024'h000000000000000000000000...' of '1024-bit' type + at line 1018 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1018 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1023 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1023 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1023 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1023 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1028 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1028 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1028 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1028 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1033 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1033 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1033 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1033 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1038 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1038 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1038 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1038 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1040 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1040 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1042 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1042 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1042 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1042 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1044 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1044 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1044 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1044 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1047 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1047 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1047 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1047 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1049 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1049 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1049 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1049 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1051 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1051 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1051 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1051 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block0' of '1024-bit' type from 'double_block_one' of '1025-bit' type + at line 1053 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1053 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'block1' of '1024-bit' type from 'double_block_two' of '1025-bit' type + at line 1053 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:1053 [compile index 509] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'actual_input' of '11-bit' type from '$random' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv:98 [compile index 2005] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tmp1' of '22-bit' type from '$random' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv:99 [compile index 2005] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'y' of '11-bit' type from '$random' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_mult_tb.sv:104 [compile index 2005] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx_tree[Pa]' of '2-bit' type from 'offset' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:73 [compile index 684] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx_tree[Pa]' of '4-bit' type from 'offset' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:73 [compile index 684] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx' of '2-bit' type from 'k' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:130 [compile index 684] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'idx' of '4-bit' type from 'k' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:130 [compile index 684] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'p_mu' of '48-bit' type from '32'h00000001' of '32-bit' type + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_arith_unit.sv:37 [compile index 1717] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'q_mu' of '48-bit' type from '32'he88fdc45' of '32-bit' type + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_arith_unit.sv:39 [compile index 1717] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'hmac_key' of '384-bit' type from 'key' of '512-bit' type + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_key_stable_top.sv:32 [compile index 1928] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd' of '15-bit' type from '$random' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv:96 [compile index 2004] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'x_comb' of '15-bit' type from '$random' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv:104 [compile index 2004] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'y_comb' of '15-bit' type from '$random' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv:105 [compile index 2004] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'actual_sum' of '16-bit' type from '{actual_sum, (s[j][0] ^ s[j][1...' of '17-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/tb/abr_masked_N_bit_Boolean_adder_tb.sv:144 [compile index 2004] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'GROUP_ORDER' of '24-bit' type from '384'hfffffffffffffffffffffffff...' of '384-bit' type + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_scalar_blinding.sv:41 [compile index 1914] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'masked_ready_reg' of '483-bit' type from '{6'h0, enable, masked_ready_re...' of '10-bit' type + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_hybrid_butterfly_2x2.sv:455 [compile index 1604] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'order' of unsigned type and item expression '0' of signed type + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:182 [compile index 1981] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'order' of unsigned type and item expression '1' of signed type + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:183 [compile index 1981] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'order' of unsigned type and item expression '2' of signed type + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:184 [compile index 1981] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'order' of unsigned type and item expression '3' of signed type + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:185 [compile index 1981] +*** Warning: CASE_ITEM_SIGNING_MISMATCH: Comparison between case expression 'order' of unsigned type and item expression '4' of signed type + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:186 [compile index 1981] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1090 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1090 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1091 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1091 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1092 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1092 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1093 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1093 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1096 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1096 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1115 [compile index 505] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'tc_number' of '8-bit' type from 'i' of '32-bit' type + at line 1116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:1116 [compile index 505] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'y_bytes' of '600-bit' type from '{8'd100, 8'd74, 8'd51, 8'd43, ...' of '320-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:118 [compile index 1809] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'padding' of '600-bit' type from '{35{8'h00}}' of '280-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:126 [compile index 1809] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'next' of '8-bit' type from '{3'd0, key_entry_dest_valid_ne...' of '9-bit' type + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv.sv:194 [compile index 239] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'raw_result' of '24-bit' type from '{1'b0, r0_reg}' of '25-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_unit.sv:102 [compile index 2035] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'raw_result' of '24-bit' type from '{1'b0, r1}' of '25-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/rtl/sigencode_z_unit.sv:102 [compile index 2035] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'int_rnd' of '4-bit' type from 'rnd' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:128 [compile index 1658] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'int_rnd' of '4-bit' type from 'rnd' of '32-bit' type + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:150 [compile index 1658] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'int_rnd' of '4-bit' type from 'rndcnt' of '1-bit' type + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:257 [compile index 1658] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'int_rnd' of '4-bit' type from 'rndcnt' of '1-bit' type + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:270 [compile index 1658] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '0' of '32-bit' type + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:62 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '1' of '32-bit' type + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:63 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '2' of '32-bit' type + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:64 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '3' of '32-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:65 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '4' of '32-bit' type + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:66 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '5' of '32-bit' type + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:67 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '6' of '32-bit' type + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:68 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '7' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:69 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '8' of '32-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:70 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '9' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:71 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '10' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:72 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '11' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:73 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '12' of '32-bit' type + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:74 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '13' of '32-bit' type + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:75 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '14' of '32-bit' type + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:76 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '15' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:77 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '16' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:78 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '17' of '32-bit' type + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:79 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '18' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:80 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '19' of '32-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:81 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '20' of '32-bit' type + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:82 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '21' of '32-bit' type + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:83 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '22' of '32-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:84 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '23' of '32-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:85 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '24' of '32-bit' type + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:86 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '25' of '32-bit' type + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:87 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '26' of '32-bit' type + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:88 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '27' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:89 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '28' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:90 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '29' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:91 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '30' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:92 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '31' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:93 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '32' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:94 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '33' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:95 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '34' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:96 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '35' of '32-bit' type + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:97 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '36' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:98 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '37' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:99 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '38' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:100 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '39' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:101 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '40' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:102 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '41' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:103 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '42' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:104 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '43' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:105 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '44' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:106 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '45' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:107 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '46' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:108 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '47' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:109 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '48' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:110 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '49' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:111 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '50' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:112 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '51' of '32-bit' type + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:113 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '52' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:114 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '53' of '32-bit' type + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:115 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '54' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:116 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '55' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:117 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '56' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:118 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '57' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:119 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '58' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:120 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '59' of '32-bit' type + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:121 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '60' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:122 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '61' of '32-bit' type + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:123 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '62' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:124 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '63' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:125 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '64' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:126 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '65' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:127 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '66' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:128 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '67' of '32-bit' type + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:129 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '68' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:130 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '69' of '32-bit' type + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:131 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '70' of '32-bit' type + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:132 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '71' of '32-bit' type + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:133 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '72' of '32-bit' type + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:134 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '73' of '32-bit' type + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:135 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '74' of '32-bit' type + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:136 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '75' of '32-bit' type + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:137 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '76' of '32-bit' type + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:138 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '77' of '32-bit' type + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:139 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '78' of '32-bit' type + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:140 [compile index 1968] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addr' of '7-bit' type and item expression '79' of '32-bit' type + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_k_constants.v:141 [compile index 1968] +*** Warning: WIDTH_MISMATCH_PADDING: Assignment to 'word' of '32-bit' type from '{1'b1, 4'b0}' of '5-bit' type + at line 933 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:933 [compile index 1962] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'random_mask' of '46-bit' type from '{$urandom, $urandom}' of '64-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv:94 [compile index 2021] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd' of '63-bit' type from '{$urandom, $urandom, $urandom}' of '96-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv:108 [compile index 2021] +*** Warning: WIDTH_MISMATCH_TRUNCATION: Assignment to 'rnd_width3' of '69-bit' type from '{$urandom, $urandom, $urandom}' of '96-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv:109 [compile index 2021] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '1' of '32-bit' type + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:65 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_G_S + 1)' of '32-bit' type + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:69 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_G_S + 2)' of '32-bit' type + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:70 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_G_S + 3)' of '32-bit' type + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:71 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_G_S + 4)' of '32-bit' type + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:72 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_G_S + 5)' of '32-bit' type + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:73 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 1)' of '32-bit' type + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:77 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 2)' of '32-bit' type + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:78 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 3)' of '32-bit' type + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:79 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 4)' of '32-bit' type + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:80 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 5)' of '32-bit' type + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:81 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 6)' of '32-bit' type + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:82 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 7)' of '32-bit' type + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:83 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 8)' of '32-bit' type + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:84 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PM_INIT_S + 9)' of '32-bit' type + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:85 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 1)' of '32-bit' type + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:89 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 2)' of '32-bit' type + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:90 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 3)' of '32-bit' type + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:91 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 4)' of '32-bit' type + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:92 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 5)' of '32-bit' type + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:93 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 6)' of '32-bit' type + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:94 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 7)' of '32-bit' type + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:95 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 8)' of '32-bit' type + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:96 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 9)' of '32-bit' type + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:97 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 10)' of '32-bit' type + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:98 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 11)' of '32-bit' type + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:99 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 12)' of '32-bit' type + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:100 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 13)' of '32-bit' type + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:101 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 14)' of '32-bit' type + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:102 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 15)' of '32-bit' type + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:103 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 16)' of '32-bit' type + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:104 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 17)' of '32-bit' type + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:105 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 18)' of '32-bit' type + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:106 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 19)' of '32-bit' type + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:107 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 20)' of '32-bit' type + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:108 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 21)' of '32-bit' type + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:109 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 22)' of '32-bit' type + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:110 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 23)' of '32-bit' type + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:111 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 24)' of '32-bit' type + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:112 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 25)' of '32-bit' type + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:113 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 26)' of '32-bit' type + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:114 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 27)' of '32-bit' type + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:115 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 28)' of '32-bit' type + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:116 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 29)' of '32-bit' type + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:117 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 30)' of '32-bit' type + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:118 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 31)' of '32-bit' type + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:119 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 32)' of '32-bit' type + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:120 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 33)' of '32-bit' type + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:121 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 34)' of '32-bit' type + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:122 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 35)' of '32-bit' type + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:123 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 36)' of '32-bit' type + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:124 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 37)' of '32-bit' type + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:125 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 38)' of '32-bit' type + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:126 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 39)' of '32-bit' type + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:127 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 40)' of '32-bit' type + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:128 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 41)' of '32-bit' type + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:129 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 42)' of '32-bit' type + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:130 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 43)' of '32-bit' type + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:131 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 44)' of '32-bit' type + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:132 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 45)' of '32-bit' type + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:133 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 46)' of '32-bit' type + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:134 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 47)' of '32-bit' type + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:135 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 48)' of '32-bit' type + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:136 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 49)' of '32-bit' type + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:137 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 50)' of '32-bit' type + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:138 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 51)' of '32-bit' type + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:139 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 52)' of '32-bit' type + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:140 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 53)' of '32-bit' type + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:141 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 54)' of '32-bit' type + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:142 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 55)' of '32-bit' type + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:143 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 56)' of '32-bit' type + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:144 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 57)' of '32-bit' type + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:145 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 58)' of '32-bit' type + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:146 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 59)' of '32-bit' type + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:147 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 60)' of '32-bit' type + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:148 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 61)' of '32-bit' type + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:149 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 62)' of '32-bit' type + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:150 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 63)' of '32-bit' type + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:151 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 64)' of '32-bit' type + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:152 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 65)' of '32-bit' type + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:153 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 66)' of '32-bit' type + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:154 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 67)' of '32-bit' type + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:155 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 68)' of '32-bit' type + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:156 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 69)' of '32-bit' type + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:157 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 70)' of '32-bit' type + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:158 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 71)' of '32-bit' type + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:159 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 72)' of '32-bit' type + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:160 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 73)' of '32-bit' type + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:161 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 74)' of '32-bit' type + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:162 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 75)' of '32-bit' type + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:163 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 76)' of '32-bit' type + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:164 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 77)' of '32-bit' type + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:165 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 78)' of '32-bit' type + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:166 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PA_S + 79)' of '32-bit' type + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:167 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 1)' of '32-bit' type + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:171 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 2)' of '32-bit' type + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:172 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 3)' of '32-bit' type + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:173 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 4)' of '32-bit' type + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:174 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 5)' of '32-bit' type + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:175 [compile index 1719] +*** Warning: CASE_ITEM_WIDTH_MISMATCH: Comparison between case expression 'addra' of '12-bit' type and item expression '(PD_S + 6)' of '32-bit' type + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_sequencer.sv:176 [compile index 1719] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv:41 [compile index 1439] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv:38 [compile index 1525] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv:38 [compile index 1526] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv:24 [compile index 1527] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv:38 [compile index 1528] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv:24 [compile index 1531] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_top' is not elaborated + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv:24 [compile index 1540] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_clk_gate' is not elaborated + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_icg.sv:18 [compile index 285] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'en_lat' in sequential logic (use non-blocking assignment) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_icg.sv:28 [compile index 285] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv:72 [compile index 328] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv:68 [compile index 328] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv:68 [compile index 328] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_out_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv:36 [compile index 328] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:25 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:68 [compile index 1117] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:75 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:76 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:76 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:86 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:94 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:104 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:105 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:106 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:106 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:107 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:108 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:108 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:110 [compile index 1117] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:110 [compile index 1117] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv:71 [compile index 331] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv:67 [compile index 331] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv:67 [compile index 331] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_in_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv:36 [compile index 331] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:24 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:63 [compile index 1102] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:70 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:71 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:71 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:81 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:89 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:99 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:100 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:101 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:101 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:102 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:103 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:103 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:105 [compile index 1102] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:105 [compile index 1102] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:83 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_ADDR_W' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:93 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_SIZE_WIDTH' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:94 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_DATA_W' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:95 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_ADDR_W' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:119 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_SIZE_WIDTH' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:120 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_DATA_W' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:121 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_DATA_W' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:75 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_ADDR_W' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:75 [compile index 334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PV_ENTRY_SIZE_WIDTH' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:75 [compile index 334] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:79 [compile index 334] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:79 [compile index 334] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_write_monitor_bfm' is not elaborated + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:50 [compile index 334] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_WRITE_REQUESTOR' when calling '$psprintf' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:67 [compile index 334] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:36 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:67 [compile index 1087] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:74 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:75 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:75 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:85 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:93 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:103 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:104 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:105 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:105 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:106 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:107 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:107 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:109 [compile index 1087] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:109 [compile index 1087] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:80 [compile index 337] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:76 [compile index 337] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:76 [compile index 337] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_rst_monitor_bfm' is not elaborated + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:50 [compile index 337] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:64 [compile index 337] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:83 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_ADDR_W' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:92 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_SIZE_W' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:93 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_DATA_W' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:94 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_NUM_READ' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:95 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_ADDR_W' is not declared + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:117 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_SIZE_W' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:118 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_DATA_W' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:119 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_NUM_READ' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:120 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_DATA_W' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:75 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_ADDR_W' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:75 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_ENTRY_SIZE_W' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:75 [compile index 340] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'KV_NUM_READ' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:75 [compile index 340] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:79 [compile index 340] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:79 [compile index 340] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_write_monitor_bfm' is not elaborated + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:50 [compile index 340] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_WRITE_REQUESTOR' when calling '$psprintf' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:67 [compile index 340] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:36 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:67 [compile index 1052] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:74 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:75 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:75 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:85 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:93 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:103 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:104 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:105 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:105 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:106 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:107 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:107 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:109 [compile index 1052] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:109 [compile index 1052] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:80 [compile index 343] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:76 [compile index 343] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:76 [compile index 343] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_rst_monitor_bfm' is not elaborated + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:50 [compile index 343] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:64 [compile index 343] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv:72 [compile index 346] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv:68 [compile index 346] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv:68 [compile index 346] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_out_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv:36 [compile index 346] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:25 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:68 [compile index 1015] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:75 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:76 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:76 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:86 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:94 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:104 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:105 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:106 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:106 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:107 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:108 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:108 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:110 [compile index 1015] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:110 [compile index 1015] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv:71 [compile index 349] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv:67 [compile index 349] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv:67 [compile index 349] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_in_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv:36 [compile index 349] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:24 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:63 [compile index 999] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:70 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:71 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:71 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:81 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:89 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:99 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:100 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:101 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:101 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:102 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:103 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:103 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:105 [compile index 999] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:105 [compile index 999] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv:71 [compile index 352] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv:67 [compile index 352] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv:67 [compile index 352] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_out_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv:36 [compile index 352] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:24 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:63 [compile index 985] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:70 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:71 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:71 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:81 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:89 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:99 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:100 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:101 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:101 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:102 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:103 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:103 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:105 [compile index 985] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:105 [compile index 985] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv:70 [compile index 355] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv:66 [compile index 355] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv:66 [compile index 355] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_in_monitor_bfm' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv:36 [compile index 355] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:23 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:58 [compile index 968] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:65 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:66 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:66 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:76 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:84 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:94 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:95 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:96 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:96 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:97 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:98 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:98 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:100 [compile index 968] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:100 [compile index 968] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:84 [compile index 360] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:80 [compile index 360] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:80 [compile index 360] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_read_monitor_bfm' is not elaborated + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:51 [compile index 360] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_READ_REQUESTOR' when calling '$psprintf' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:68 [compile index 360] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:36 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:67 [compile index 953] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:74 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:75 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:75 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:85 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:93 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:103 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:104 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:105 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:105 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:106 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:107 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:107 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:109 [compile index 953] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:109 [compile index 953] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:84 [compile index 363] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:80 [compile index 363] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:80 [compile index 363] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_read_monitor_bfm' is not elaborated + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:51 [compile index 363] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_READ_REQUESTOR' when calling '$psprintf' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:68 [compile index 363] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:36 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:67 [compile index 937] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:74 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:75 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:75 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:85 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:93 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:103 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:104 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:105 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:105 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:106 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:107 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:107 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:109 [compile index 937] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:109 [compile index 937] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:95 [compile index 369] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:128 [compile index 369] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:130 [compile index 369] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:132 [compile index 369] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:145 [compile index 369] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:145 [compile index 369] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.cptra_ctrl_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:74 [compile index 369] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:88 [compile index 369] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:87 [compile index 372] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:119 [compile index 372] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:121 [compile index 372] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:123 [compile index 372] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:125 [compile index 372] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:147 [compile index 372] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:147 [compile index 372] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_out_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:60 [compile index 372] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:86 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:135 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:137 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:139 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:141 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:143 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:145 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:147 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:149 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:151 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:153 [compile index 375] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:155 [compile index 375] +*** Error: MULTIPLE_PACKAGES: Name 'reset_op' exists in multiple imported packages ('SHA512_in_pkg_hdl', 'HMAC_in_pkg_hdl', and possibly others) + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:278 [compile index 375] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:173 [compile index 375] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:173 [compile index 375] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_in_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:60 [compile index 375] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'clock_cnt' in sequential logic (use non-blocking assignment) + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:368 [compile index 375] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'sha512_rst_o' in sequential logic (use non-blocking assignment) + at line 411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:411 [compile index 375] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:602 [compile index 375] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 607 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:607 [compile index 375] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 608 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:608 [compile index 375] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 609 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:609 [compile index 375] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:98 [compile index 378] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:126 [compile index 378] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:131 [compile index 378] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:147 [compile index 378] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:147 [compile index 378] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_write_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:74 [compile index 378] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_WRITE_REQUESTOR' when calling '$psprintf' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:91 [compile index 378] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:95 [compile index 381] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:130 [compile index 381] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:132 [compile index 381] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:134 [compile index 381] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:136 [compile index 381] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:149 [compile index 381] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:149 [compile index 381] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_rst_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:74 [compile index 381] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:88 [compile index 381] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:97 [compile index 384] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:124 [compile index 384] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:129 [compile index 384] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:144 [compile index 384] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:144 [compile index 384] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_write_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:74 [compile index 384] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_WRITE_REQUESTOR' when calling '$psprintf' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:90 [compile index 384] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:95 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:134 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:136 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:138 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:140 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:142 [compile index 387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:144 [compile index 387] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:157 [compile index 387] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:157 [compile index 387] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_rst_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:74 [compile index 387] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:88 [compile index 387] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:87 [compile index 390] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:119 [compile index 390] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:121 [compile index 390] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:123 [compile index 390] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:125 [compile index 390] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:147 [compile index 390] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:147 [compile index 390] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_out_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:60 [compile index 390] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:86 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:139 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:141 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:143 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:145 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:147 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:149 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:151 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:153 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:155 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:157 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:159 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:161 [compile index 393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:163 [compile index 393] +*** Error: MULTIPLE_PACKAGES: Name 'reset_op' exists in multiple imported packages ('SHA512_in_pkg_hdl', 'HMAC_in_pkg_hdl', and possibly others) + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:296 [compile index 393] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:181 [compile index 393] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:181 [compile index 393] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_in_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:60 [compile index 393] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'hmac_rst_o' in sequential logic (use non-blocking assignment) + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:472 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:696 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 707 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:707 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 713 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:713 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 783 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:783 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 796 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:796 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 807 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:807 [compile index 393] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 815 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:815 [compile index 393] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:86 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:122 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:124 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:126 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:128 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:133 [compile index 396] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:135 [compile index 396] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:153 [compile index 396] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:153 [compile index 396] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_out_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:60 [compile index 396] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:85 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:135 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:137 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:142 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:144 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:146 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:148 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:150 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:152 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:154 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:156 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:158 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:160 [compile index 399] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:162 [compile index 399] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:179 [compile index 399] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:179 [compile index 399] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_in_driver_bfm' is not elaborated + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:60 [compile index 399] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'ecc_rst_n_o' in sequential logic (use non-blocking assignment) + at line 467 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:467 [compile index 399] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'cycle_ctr' in sequential logic (use non-blocking assignment) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:479 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 968 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:968 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 969 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:969 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 970 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:970 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 971 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:971 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 972 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:972 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 973 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:973 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 974 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:974 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 975 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:975 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 976 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:976 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 977 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:977 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 978 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:978 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 979 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:979 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 980 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:980 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 981 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:981 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 982 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:982 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 983 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:983 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 984 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:984 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 985 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:985 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 986 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:986 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 987 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:987 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 988 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:988 [compile index 399] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 989 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:989 [compile index 399] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_prim_generic_flop_en' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim_generic/rtl/abr_prim_generic_flop_en.sv:7 [compile index 412] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_prim_dom_and_2share' is not elaborated + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_dom_and_2share.sv:28 [compile index 428] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:99 [compile index 474] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:127 [compile index 474] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:132 [compile index 474] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:148 [compile index 474] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:148 [compile index 474] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_read_driver_bfm' is not elaborated + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:75 [compile index 474] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_READ_REQUESTOR' when calling '$psprintf' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:92 [compile index 474] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:99 [compile index 477] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:127 [compile index 477] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:132 [compile index 477] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:147 [compile index 477] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:147 [compile index 477] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_read_driver_bfm' is not elaborated + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:75 [compile index 477] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_READ_REQUESTOR' when calling '$psprintf' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:92 [compile index 477] +*** Warning: UNELABORATED_MODULE: Module 'work.caliptra_prim_dom_and_2share' is not elaborated + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_dom_and_2share.sv:28 [compile index 656] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'main_sm_state' is not a member of 'debug_status' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src.sv:236 [compile index 746] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:95 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:150 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:152 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:154 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:156 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:158 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:160 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:162 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:164 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:166 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:168 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:170 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:172 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:174 [compile index 886] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:176 [compile index 886] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:189 [compile index 886] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:189 [compile index 886] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.cptra_status_driver_bfm' is not elaborated + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:74 [compile index 886] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:88 [compile index 886] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.soc_ifc_cov_if' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/coverage/soc_ifc_cov_if.sv:36 [compile index 1124] +*** Warning: UNELABORATED_MODULE: Module 'work.rvsyncss_fpga' is not elaborated + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:449 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rvtwoscomp' is not elaborated + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:528 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rvfindfirst1' is not elaborated + at line 548 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:548 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rvfindfirst1hot' is not elaborated + at line 567 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:567 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rveven_paritygen' is not elaborated + at line 640 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:640 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rveven_paritycheck' is not elaborated + at line 649 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:649 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rvecc_encode_64' is not elaborated + at line 720 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:720 [compile index 1431] +*** Warning: UNELABORATED_MODULE: Module 'work.rvecc_decode_64' is not elaborated + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:741 [compile index 1431] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:297 [compile index 1445] +*** Warning: UNELABORATED_MODULE: Module 'work.sig_dual_port_memory' is not elaborated + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:261 [compile index 1542] +*** Warning: UNELABORATED_MODULE: Module 'work.sig_dual_port_memory' is not elaborated + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:260 [compile index 1623] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:105 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:105 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:105 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:107 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:132 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:132 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:133 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:133 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:147 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:147 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:148 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:148 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:162 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:162 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:163 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:163 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:177 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:177 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:178 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:178 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:192 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:192 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:193 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:193 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:207 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:207 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:208 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:208 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:222 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:222 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:223 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:223 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:237 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:237 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:238 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:238 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:252 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:252 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:253 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:253 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:267 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:267 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:268 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:268 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:284 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:310 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:317 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:318 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:334 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 341 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:341 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:342 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:358 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:365 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 366 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:366 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:381 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 388 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:388 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 389 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:389 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:404 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:411 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:412 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 427 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:427 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:434 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:435 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 450 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:450 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 457 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:457 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:458 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:473 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:480 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:481 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_INDEX_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 496 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:496 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 503 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:503 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:504 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:526 [compile index 1546] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 527 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:527 [compile index 1546] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_masked_inverse_gf2p4' is not elaborated + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv:35 [compile index 1549] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_masked_inverse_gf2p8' is not elaborated + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv:233 [compile index 1549] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_sbox_canright_masked' is not elaborated + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv:434 [compile index 1549] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_masked_inverse_gf2p4_noreuse' is not elaborated + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv:34 [compile index 1550] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_masked_inverse_gf2p8_noreuse' is not elaborated + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv:190 [compile index 1550] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_sbox_canright_masked_noreuse' is not elaborated + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv:392 [compile index 1550] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv:16 [compile index 1556] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hvl_top.sv:16 [compile index 1559] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hvl_top.sv:30 [compile index 1562] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hvl_top.sv:30 [compile index 1563] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hvl_top.sv:30 [compile index 1573] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hvl_top.sv:16 [compile index 1580] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hvl_top.sv:30 [compile index 1583] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_top' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hvl_top.sv:16 [compile index 1598] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_in_if' is not elaborated + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_if.sv:39 [compile index 1557] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ECC_out_if' is not elaborated + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_if.sv:32 [compile index 1558] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_in_if' is not elaborated + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_if.sv:37 [compile index 1560] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.HMAC_out_if' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_if.sv:30 [compile index 1561] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_read_if' is not elaborated + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_if.sv:42 [compile index 1564] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_rst_if' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_if.sv:45 [compile index 1565] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.kv_write_if' is not elaborated + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_if.sv:42 [compile index 1566] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_qvip_ahb_lite_slave' is not elaborated + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv:8 [compile index 1567] +*** Warning: UNELABORATED_MODULE: Module 'work.hdl_qvip_ahb_lite_slave' is not elaborated + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hdl_qvip_ahb_lite_slave.sv:8 [compile index 1587] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_qvip_ahb_lite_slave' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv:7 [compile index 1568] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_qvip_ahb_lite_slave' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hvl_qvip_ahb_lite_slave.sv:7 [compile index 1588] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_qvip_ahb_lite_slave' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv:7 [compile index 1591] +*** Warning: UNELABORATED_MODULE: Module 'work.hvl_qvip_apb5_slave' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/hvl_qvip_apb5_slave.sv:7 [compile index 1571] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_read_if' is not elaborated + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_if.sv:42 [compile index 1574] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_rst_if' is not elaborated + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_if.sv:44 [compile index 1575] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pv_write_if' is not elaborated + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_if.sv:42 [compile index 1576] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_in_if' is not elaborated + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_if.sv:37 [compile index 1581] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.SHA512_out_if' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_if.sv:30 [compile index 1582] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:280 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_ID' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:281 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_PRTY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:282 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:379 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:380 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:392 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:393 [compile index 1641] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:193 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:237 [compile index 1643] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1114 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1126 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1140 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1145 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1152 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1175 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1200 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1214 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1219 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1226 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1235 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1246 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1260 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1265 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'SB_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1272 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1295 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1304 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1320 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1325 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DMA_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:1334 [compile index 1645] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:233 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:234 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ENABLE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:241 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:242 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:243 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_BASE_ADDR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:254 [compile index 1647] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:255 [compile index 1647] +*** Warning: UNELABORATED_MODULE: Module 'work.ahb_to_axi4' is not elaborated + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:23 [compile index 1647] +*** Error: NON_EXISTING_TYPE: Non existing type 'el2_param_t' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: UNELABORATED_MODULE: Module 'work.axi4_to_ahb' is not elaborated + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:24 [compile index 1649] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.caliptra_top_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_if.sv:17 [compile index 1660] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.keyvault_cov_if' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/coverage/keyvault_cov_if.sv:20 [compile index 1661] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.pcrvault_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/coverage/pcrvault_cov_if.sv:17 [compile index 1663] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:478 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:478 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:480 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:483 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:487 [compile index 1669] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:84 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:105 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:147 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:150 [compile index 1673] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:232 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:240 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:262 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:345 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 346 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:346 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 347 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:347 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:348 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:350 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:351 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:353 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:354 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:356 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:357 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:360 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:361 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:377 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:383 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:384 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:404 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:405 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:407 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:408 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 413 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:413 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PIC_TOTAL_INT_PLUS1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:414 [compile index 1675] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_cmp_and_mux' is not elaborated + at line 538 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:538 [compile index 1675] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_configurable_gw' is not elaborated + at line 563 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:563 [compile index 1675] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:43 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:44 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:44 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:55 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:56 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:57 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:57 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:58 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:66 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_TOFFSET_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:85 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:85 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_TOFFSET_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:86 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:86 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_TOFFSET_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:89 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_TOFFSET_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:90 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_TOFFSET_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:91 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ARRAY_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:100 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ARRAY_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:101 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ARRAY_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:102 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:102 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:102 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ARRAY_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:103 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:103 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ARRAY_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:104 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:117 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:117 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:120 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:120 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:123 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RET_STACK_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:126 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RET_STACK_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:127 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:137 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:137 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:138 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:144 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:144 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:148 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:207 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:207 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:213 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:213 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:218 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:228 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:228 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:228 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:228 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:232 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:232 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:232 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:232 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:241 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:241 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:242 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:242 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:252 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:253 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:255 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:255 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:257 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:259 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:259 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:262 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:265 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:268 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:271 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 292 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:292 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:293 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 294 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:294 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:295 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:297 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:298 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 302 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:302 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:303 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:304 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:305 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:315 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:315 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:318 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:318 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:319 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:319 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BEAT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:365 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:458 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:459 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:460 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 461 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:461 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:463 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:464 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 466 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:466 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 467 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:467 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:468 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:470 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 471 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:471 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:472 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 474 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:474 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RET_STACK_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 558 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:558 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RET_STACK_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:561 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 581 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:581 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 581 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:581 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 587 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:587 [compile index 1678] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_ifu_bp_ctl' is not elaborated + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:27 [compile index 1678] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 683 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:683 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 689 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:689 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 693 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:693 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:724 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 733 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:733 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:735 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BEATS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 818 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:818 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'IFU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 820 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:820 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1241 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1287 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1288 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1328 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1330 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1333 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1336 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1336 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1338 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1339 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1360 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1408 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1408 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1409 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1409 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1410 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1410 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1411 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1411 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1412 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1412 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1416 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1416 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1417 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1417 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1418 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1418 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1424 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1425 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1427 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1427 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1427 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1427 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1429 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1429 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1429 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1432 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1432 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1432 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1433 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1433 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1433 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1436 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1436 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1437 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1437 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1439 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1440 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1440 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1449 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1453 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1454 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1460 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1461 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1461 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1462 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1462 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1462 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_STATUS_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1463 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1468 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1468 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1469 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1469 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1470 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1470 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1471 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1471 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1475 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1475 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1475 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1477 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1477 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1477 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1477 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1478 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1480 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1480 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1481 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1486 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1486 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1488 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1488 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1489 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1489 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1491 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1492 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1492 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1493 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1493 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1496 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1496 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1496 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1496 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1497 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1497 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1497 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1497 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1513 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1513 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1514 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1514 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1523 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_DEPTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1524 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1525 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1525 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1525 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 1526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1526 [compile index 1680] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 705 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:705 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 716 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:716 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 717 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:717 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 718 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:718 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 719 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:719 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:724 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:725 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:726 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 732 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:732 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_BUS_TAG' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 733 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:733 [compile index 1684] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:216 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:217 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:218 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:219 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:368 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 369 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:369 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 402 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:402 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:403 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:412 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 413 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:413 [compile index 1690] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:173 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:174 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:199 [compile index 1692] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'LSU_SB_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:212 [compile index 1692] +*** Warning: UNELABORATED_MODULE: Module 'work.aes_sbox_lut' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_lut.sv:7 [compile index 1703] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:138 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:151 [compile index 1733] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:298 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:299 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:300 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:301 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:304 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:305 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 306 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:306 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:317 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:318 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:318 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:318 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:325 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 340 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:340 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:342 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:342 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:343 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:343 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:345 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:345 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:345 [compile index 1735] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 345 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:345 [compile index 1735] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_existing_1bit_cheapshortq' is not elaborated + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:142 [compile index 1739] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_new_1bit_fullshortq' is not elaborated + at line 453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:453 [compile index 1739] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_new_2bit_fullshortq' is not elaborated + at line 714 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:714 [compile index 1739] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_new_3bit_fullshortq' is not elaborated + at line 991 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:991 [compile index 1739] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_new_4bit_fullshortq' is not elaborated + at line 1326 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:1326 [compile index 1739] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_exu_div_cls' is not elaborated + at line 1736 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:1736 [compile index 1739] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:315 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:332 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:339 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:342 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:516 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:583 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:583 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:584 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:584 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:585 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:585 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:586 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:586 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 588 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:588 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_FOLD' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 593 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:593 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 594 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:594 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 594 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:594 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 595 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:595 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 596 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:596 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 596 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:596 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 597 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:597 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:600 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:600 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:601 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:602 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:602 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 603 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:603 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FULLYA' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 648 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:648 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 656 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:656 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 656 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:656 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:657 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:657 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 659 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:659 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 660 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:660 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 662 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:662 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 663 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:663 [compile index 1743] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:35 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:53 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:54 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:55 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:56 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:56 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:56 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:58 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:59 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:60 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:61 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:62 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:66 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:69 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:72 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:99 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:101 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:106 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:107 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:109 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:111 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:111 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:112 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:113 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:113 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:114 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:114 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:121 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:123 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:127 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:128 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:130 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:131 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:155 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:156 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:164 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:167 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:168 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:170 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:173 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:174 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:194 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:205 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:217 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:218 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:218 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:220 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_BANK_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:223 [compile index 1747] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_ifu_iccm_mem' is not elaborated + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:23 [compile index 1747] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv:223 [compile index 1749] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ifc_ctl.sv:224 [compile index 1749] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:21 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:21 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:22 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:25 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:25 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:26 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:26 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:27 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:27 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:35 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:35 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:36 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:40 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:40 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:41 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_BTAG_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:41 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:50 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:50 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:51 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:51 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_FOLD2_INDEX_HASH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:55 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:56 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:56 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:56 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:56 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:57 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:57 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:60 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:60 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:60 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:60 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX2_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:61 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX2_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:61 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:62 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX3_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:62 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:72 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:72 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:73 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:74 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:74 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_HASH_1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:79 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:80 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:80 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:80 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BTB_INDEX1_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:80 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:83 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_ADDR_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:83 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'BHT_GHR_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:83 [compile index 1751] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_btb_tag_hash' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:16 [compile index 1751] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_btb_tag_hash_fold' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:30 [compile index 1751] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_btb_addr_hash' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:45 [compile index 1751] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_btb_ghr_hash' is not elaborated + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/el2_lib.sv:67 [compile index 1751] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:80 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:81 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SADR' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:88 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:89 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICCM_REGION' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:102 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE0' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE1' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE2' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE3' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE4' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE5' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE6' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_ACCESS_ENABLE7' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:171 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:204 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PMP_ENTRIES' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:214 [compile index 1758] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:42 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:43 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:44 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:45 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:46 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:47 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:50 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:51 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:61 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:61 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_WIDTH_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:61 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_SIZE' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:62 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BYTE_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:62 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:62 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:64 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:65 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:66 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:66 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:66 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:67 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:67 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:69 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:69 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:70 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:72 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:72 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:74 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:75 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:77 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:79 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:80 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:83 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_WIDTH_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:83 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:83 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:84 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:84 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_NUM_BANKS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:88 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:89 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:90 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:91 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:92 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:93 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:94 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:95 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:96 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:98 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:98 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:109 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:110 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:110 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:111 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_FDATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:112 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:112 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:118 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_BANK_BITS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:119 [compile index 1760] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_lsu_dccm_mem' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:30 [compile index 1760] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:122 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:123 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:125 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:126 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:128 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:131 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:150 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:151 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:153 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:154 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:156 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:158 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:169 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:170 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:192 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:193 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:195 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:207 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:208 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:210 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:219 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:221 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:226 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_ECC_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:228 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:232 [compile index 1762] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DCCM_DATA_WIDTH' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:233 [compile index 1762] +*** Warning: UNELABORATED_MODULE: Module 'work.ntt_buffer' is not elaborated + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv:28 [compile index 1793] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:33 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:35 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:39 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:43 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:49 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:50 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:51 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:52 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:52 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:53 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:55 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:69 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:70 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:77 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:78 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:100 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:103 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:107 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:108 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:109 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:113 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:117 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:118 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:118 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:126 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:127 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:127 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:128 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:128 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:131 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:132 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:133 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:135 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:135 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:136 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:137 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:138 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:141 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:143 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:143 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:144 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:145 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:145 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:147 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:148 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:149 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:150 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:152 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_INDEX_HI' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:152 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_DATA_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:152 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_TAG_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:154 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_DATA_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:154 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:155 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:159 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:160 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:161 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:162 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:162 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_DATA_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:162 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:163 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:163 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:164 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:164 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:165 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:165 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:166 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:166 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:167 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:167 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:168 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:168 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:170 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:170 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:171 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:171 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:172 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:172 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:173 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:173 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:173 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_DATA_INDEX_LO' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:173 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:174 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:174 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:174 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:175 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:175 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:175 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:176 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:176 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:176 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:177 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:177 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:177 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:178 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:178 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:178 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_WAYS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:179 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:179 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_NUM_BYPASS' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:179 [compile index 1828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ICACHE_BANKS_WAY' is not a member of 'pt' (type 'el2_param_t' is undeclared) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:182 [compile index 1828] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_ifu_ic_mem' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:20 [compile index 1828] +*** Warning: UNELABORATED_MODULE: Module 'work.EL2_IC_DATA' is not elaborated + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:88 [compile index 1828] +*** Warning: UNELABORATED_MODULE: Module 'work.EL2_IC_TAG' is not elaborated + at line 803 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:803 [compile index 1828] +*** Warning: UNELABORATED_MODULE: Module 'work.ahb_sif' is not elaborated + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:18 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'addr' in sequential logic (use non-blocking assignment) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:72 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd7}]' in sequential logic (use non-blocking assignment) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:74 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd6}]' in sequential logic (use non-blocking assignment) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:75 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd5}]' in sequential logic (use non-blocking assignment) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:76 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd4}]' in sequential logic (use non-blocking assignment) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:77 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd3}]' in sequential logic (use non-blocking assignment) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:78 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd2}]' in sequential logic (use non-blocking assignment) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:79 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd1}]' in sequential logic (use non-blocking assignment) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:80 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'mem[{laddr[31 : 3], 3'd0}]' in sequential logic (use non-blocking assignment) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:81 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'ok' in sequential logic (use non-blocking assignment) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:91 [compile index 1832] +*** Warning: ASSIGNMENT_BLOCKING: Blocking assignment of 'ok' in sequential logic (use non-blocking assignment) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:93 [compile index 1832] +*** Warning: UNELABORATED_MODULE: Module 'work.caliptra_prim_cdc_rand_delay' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:17 [compile index 1837] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.ecc_top_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/coverage/ecc_top_cov_if.sv:17 [compile index 1840] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.hmac_ctrl_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/coverage/hmac_ctrl_cov_if.sv:17 [compile index 1844] +*** Warning: UNELABORATED_MODULE: Module 'work.caliptra_top_cov_props' is not elaborated + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/coverage/caliptra_top_cov_props.sv:19 [compile index 1846] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.sha256_ctrl_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/coverage/sha256_ctrl_cov_if.sv:17 [compile index 1850] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.sha512_ctrl_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/coverage/sha512_ctrl_cov_if.sv:17 [compile index 1852] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_prim_cdc_rand_delay' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:17 [compile index 1853] +*** Warning: UNELABORATED_INTERFACE: Interface 'work.mldsa_top_cov_if' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/coverage/mldsa_top_cov_if.sv:17 [compile index 1856] +*** Warning: UNELABORATED_MODULE: Module 'work.el2_ram' is not elaborated + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:86 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_32768x39' is not elaborated + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:108 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_16384x39' is not elaborated + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:109 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_8192x39' is not elaborated + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:110 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x39' is not elaborated + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:111 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_3072x39' is not elaborated + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:112 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x39' is not elaborated + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:113 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1536x39' is not elaborated + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:114 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x39' is not elaborated + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:115 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_768x39' is not elaborated + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:116 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x39' is not elaborated + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:117 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x39' is not elaborated + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:118 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x39' is not elaborated + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:119 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x20' is not elaborated + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:120 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x20' is not elaborated + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:121 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x20' is not elaborated + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:122 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x20' is not elaborated + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:123 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x20' is not elaborated + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:124 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x34' is not elaborated + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:125 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x34' is not elaborated + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:126 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x34' is not elaborated + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:127 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x34' is not elaborated + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:128 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x34' is not elaborated + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:129 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x34' is not elaborated + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:130 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x34' is not elaborated + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:131 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_8192x68' is not elaborated + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:132 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x68' is not elaborated + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:133 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x68' is not elaborated + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:134 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x68' is not elaborated + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:135 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x68' is not elaborated + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:136 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x68' is not elaborated + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:137 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x68' is not elaborated + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:138 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x68' is not elaborated + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:139 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_8192x71' is not elaborated + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:140 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x71' is not elaborated + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:141 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x71' is not elaborated + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:142 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x71' is not elaborated + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:143 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x71' is not elaborated + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:144 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x71' is not elaborated + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:145 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x71' is not elaborated + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:146 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x71' is not elaborated + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:147 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x42' is not elaborated + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:148 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x42' is not elaborated + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:149 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x42' is not elaborated + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:150 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x42' is not elaborated + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:151 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x42' is not elaborated + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:152 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x42' is not elaborated + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:153 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x42' is not elaborated + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:154 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x22' is not elaborated + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:155 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x22' is not elaborated + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:156 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x22' is not elaborated + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:157 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x22' is not elaborated + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:158 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x22' is not elaborated + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:159 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x22' is not elaborated + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:160 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x22' is not elaborated + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:161 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_1024x26' is not elaborated + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:162 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_4096x26' is not elaborated + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:163 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_2048x26' is not elaborated + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:164 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_512x26' is not elaborated + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:165 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_256x26' is not elaborated + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:166 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_128x26' is not elaborated + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:167 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_64x26' is not elaborated + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:168 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_32x26' is not elaborated + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:169 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_32x22' is not elaborated + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:170 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_8192x142' is not elaborated + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:171 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_4096x142' is not elaborated + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:172 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_2048x142' is not elaborated + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:173 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_1024x142' is not elaborated + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:174 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_512x142' is not elaborated + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:175 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_256x142' is not elaborated + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:176 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_128x142' is not elaborated + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:177 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_64x142' is not elaborated + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:178 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_8192x284' is not elaborated + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:179 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_4096x284' is not elaborated + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:180 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_2048x284' is not elaborated + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:181 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_1024x284' is not elaborated + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:182 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_512x284' is not elaborated + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:183 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_256x284' is not elaborated + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:184 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_128x284' is not elaborated + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:185 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_64x284' is not elaborated + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:186 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_8192x136' is not elaborated + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:187 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_4096x136' is not elaborated + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:188 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_2048x136' is not elaborated + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:189 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_1024x136' is not elaborated + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:190 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_512x136' is not elaborated + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:191 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_256x136' is not elaborated + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:192 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_128x136' is not elaborated + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:193 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_64x136' is not elaborated + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:194 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_8192x272' is not elaborated + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:195 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_4096x272' is not elaborated + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:196 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_2048x272' is not elaborated + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:197 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_1024x272' is not elaborated + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:198 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_512x272' is not elaborated + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:199 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_256x272' is not elaborated + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:200 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_128x272' is not elaborated + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:201 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_64x272' is not elaborated + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:202 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_4096x52' is not elaborated + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:203 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_2048x52' is not elaborated + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:204 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_1024x52' is not elaborated + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:205 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.ram_be_512x52' is not elaborated + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/mem_lib.sv:206 [compile index 1861] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_constraints_m' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:20 [compile index 1872] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_constraints_m' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:20 [compile index 1925] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_constraints_m' is not elaborated + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:19 [compile index 1932] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_coverpoints_m' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv:20 [compile index 1873] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_coverpoints_m' is not elaborated + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_coverpoints.sv:19 [compile index 1927] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_coverpoints_m' is not elaborated + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_cover_points.sv:19 [compile index 1933] +*** Warning: UNELABORATED_MODULE: Module 'work.fv_coverpoints_m' is not elaborated + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_coverpoints.sv:20 [compile index 1955] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v:1 [compile index 1916] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/rtl/verilog/verilog_dut.v:1 [compile index 1931] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/rtl/verilog/verilog_dut.v:1 [compile index 1937] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/rtl/verilog/verilog_dut.v:1 [compile index 1938] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/rtl/verilog/verilog_dut.v:1 [compile index 1948] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/rtl/verilog/verilog_dut.v:1 [compile index 1970] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/rtl/verilog/verilog_dut.v:1 [compile index 1975] +*** Warning: UNELABORATED_MODULE: Module 'work.verilog_dut' is not elaborated + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/rtl/verilog/verilog_dut.v:1 [compile index 2015] +*** Warning: UNELABORATED_MODULE: Module 'work.apb_slv_sif' is not elaborated + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/apb_slv_sif.sv:15 [compile index 1940] +*** Warning: UNELABORATED_MODULE: Module 'work.interrupt_regs' is not elaborated + at line 4 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs.sv:4 [compile index 1943] +*** Warning: UNELABORATED_MODULE: Module 'work.default_clk_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv:7 [compile index 1944] +*** Warning: UNELABORATED_MODULE: Module 'work.default_clk_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_clk_gen.sv:7 [compile index 1946] +*** Warning: UNELABORATED_MODULE: Module 'work.default_clk_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_clk_gen.sv:7 [compile index 2008] +*** Warning: UNELABORATED_MODULE: Module 'work.default_clk_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv:7 [compile index 2010] +*** Warning: UNELABORATED_MODULE: Module 'work.default_reset_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv:7 [compile index 1945] +*** Warning: UNELABORATED_MODULE: Module 'work.default_reset_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_reset_gen.sv:7 [compile index 1947] +*** Warning: UNELABORATED_MODULE: Module 'work.default_reset_gen' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_reset_gen.sv:7 [compile index 2009] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'dr_en' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:159 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tdi' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:159 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'sr' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:159 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'dr_en' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:160 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tdi' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:160 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'sr' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:160 [compile index 1952] +*** Error: ILLEGAL_NONCONSTANT_EXPRESSION: Expecting constant expression, but found 'tdi' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:161 [compile index 1952] +*** Error: NON_EXISTING_TYPE: Non existing type 'nsr' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:165 [compile index 1952] +*** Error: NON_EXISTING_TYPE: Non existing type 'dr_en' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:168 [compile index 1952] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_2ff_sync' is not elaborated + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_2ff_sync.sv:15 [compile index 1984] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_ram_regout' is not elaborated + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_ram_regout.sv:15 [compile index 2000] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_prim_subreg_ext' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:7 [compile index 2013] +*** Warning: UNELABORATED_MODULE: Module 'work.decompose_w1_mem' is not elaborated + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose_w1_mem.sv:25 [compile index 2014] +*** Warning: UNELABORATED_MODULE: Module 'work.abr_prim_flop_en' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_en.sv:17 [compile index 2065] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg_uvm.sv:3 [compile index 225] +*** Warning: UNELABORATED_PACKAGE: Package 'work.sha512_acc_csr_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr_uvm.sv:3 [compile index 229] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_vip_config' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:23 [compile index 250] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_agent' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:25 [compile index 250] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:27 [compile index 250] +*** Warning: UNELABORATED_PACKAGE: Package 'work.qvip_ahb_lite_slave_params_pkg' is not elaborated + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:7 [compile index 250] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:11 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:19 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 251] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:21 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 251] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_ahb_v2_0_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:23 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:25 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:27 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:29 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:31 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:33 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:35 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:37 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:39 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:41 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:43 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:45 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:47 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:49 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:50 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:51 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:52 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:53 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:54 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:55 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:56 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:57 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:58 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:59 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:60 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:61 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:62 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:63 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:64 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:65 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:66 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:67 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:68 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:69 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:70 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:71 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:72 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:74 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:76 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:78 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:80 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:82 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:84 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:86 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:87 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:88 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:89 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:90 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:91 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:92 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'cfg' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:93 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'slave_id' is not a member of 'cfg' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:100 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_map' is not a member of 'cfg' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:103 [compile index 251] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'cfg' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/ahb_lite_slave_0_config_policy.svh:124 [compile index 251] +*** Warning: UNELABORATED_PACKAGE: Package 'work.qvip_ahb_lite_slave_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:16 [compile index 254] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'qvip_ahb_lite_slave_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:8 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:14 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:20 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_lite_slave_0_cfg_t' (type 'ahb_vip_config' is undeclared) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:21 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'ahb_lite_slave_0_cfg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:25 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:30 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:34 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:41 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:45 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:47 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'ahb_lite_slave_0_cfg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:49 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'addr_map_entry_s' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:55 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:57 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:58 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:59 [compile index 256] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:60 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_mask' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:61 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:62 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:69 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:70 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:71 [compile index 256] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:72 [compile index 256] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:11 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:21 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_lite_slave_0_agent_t' (type 'ahb_agent' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:40 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_mvc_config' is not a member of 'ahb_lite_slave_0' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:41 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:41 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 257] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:49 [compile index 257] +*** Warning: UNELABORATED_PACKAGE: Package 'work.qvip_ahb_lite_slave_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:16 [compile index 259] +*** Warning: UNELABORATED_PACKAGE: Package 'work.qvip_apb5_slave_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv:16 [compile index 272] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'qvip_apb5_slave_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:8 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:8 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:8 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:8 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:14 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:20 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'apb5_master_0_cfg_t' (type 'apb3_vip_config' is undeclared) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:21 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'apb5_master_0_cfg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:25 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:30 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:34 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:41 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:45 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:47 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'apb5_master_0_cfg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:49 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'addr_map_entry_s' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:55 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:57 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:57 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:57 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:57 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:58 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:58 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:58 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:58 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:59 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NS' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:59 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MEM_NORMAL' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:59 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MAP_NORM_SEC_DATA' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:59 [compile index 274] +*** Error: NON_EXISTING_TYPE: Non existing type 'address_map' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:60 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr_mask' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:61 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set' is not a member of 'MBOX' (type 'address_map' is undeclared) + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:62 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:69 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'apb5_master_0_cfg' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:70 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:71 [compile index 274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'apb5_master_0_cfg' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:72 [compile index 274] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:11 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:21 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'apb5_master_0_agent_t' (type 'apb_agent' is undeclared) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:40 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_mvc_config' is not a member of 'apb5_master_0' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:41 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:41 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:49 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:49 [compile index 275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'apb5_master_0' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:49 [compile index 275] +*** Error: EXTEND_CLASS: Extending non existing class 'reg2apb_adapter' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:35 [compile index 278] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'this_t' for function of type 'uvm_object' (class types are not assignment compatible) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:48 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:48 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:48 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:59 [compile index 278] +*** Error: NON_EXISTING_TYPE: Non existing type 'addr_map_entry_s' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:71 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_item' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:77 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cfg' is not declared + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:87 [compile index 278] +*** Error: NON_EXISTING_TYPE: Non existing type 'cfg_t' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:87 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'en_addr_map' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:89 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cfg' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:89 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'id' is not a member of 'entry' (type 'addr_map_entry_s' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:89 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'supports_byte_enable' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:101 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cfg' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:101 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'cfg' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:110 [compile index 278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bus2reg' is not a member of 'super' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:140 [compile index 278] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mbox_csr_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_uvm.sv:3 [compile index 318] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:232 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:232 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:233 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:233 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:234 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:234 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:235 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:235 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:236 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:236 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:237 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:237 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:238 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:238 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:241 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:241 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:241 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:242 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:242 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:242 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:243 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:243 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:243 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:244 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:244 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:244 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:245 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:245 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:245 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:246 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:246 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:246 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:247 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:247 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:247 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:248 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:248 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:248 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:249 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:249 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:249 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:250 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:250 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:250 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:251 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:251 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:251 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:252 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:252 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:252 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:253 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:253 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:253 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:254 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:254 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:254 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:255 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:255 [compile index 320] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr_covergroups.svh:255 [compile index 320] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:41 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ready_for_fw' is not a member of 'CPTRA_FLOW_STATUS' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:263 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'this' + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:273 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'this' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:274 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'this' + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:275 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'this' + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:276 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_FUSE_VALID_PAUSER' is not a member of 'this' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:299 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_FUSE_PAUSER_LOCK' is not a member of 'this' + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:300 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_fields' is not a member of 'fuse_key_manifest_pk_hash_mask' + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:308 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_owner_pk_hash' is not a member of 'this' + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:309 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_life_cycle' is not a member of 'this' + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:315 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_lms_verify' is not a member of 'this' + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:316 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 1057 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1057 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 1060 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1060 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mask' is not a member of 'fuse_key_manifest_pk_hash_mask' + at line 1068 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1068 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_owner_pk_hash' is not a member of 'soc_ifc_reg_rm' + at line 1069 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1069 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_life_cycle' is not a member of 'soc_ifc_reg_rm' + at line 1075 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1075 [compile index 529] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_lms_verify' is not a member of 'soc_ifc_reg_rm' + at line 1076 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1076 [compile index 529] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_reg_model_top_pkg' is not elaborated + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:29 [compile index 529] +*** Warning: OVERRIDE_VIRTUAL_METHOD: Qualifier 'public' of method 'soc_ifc_reg_model_top.sample' does not match qualifier 'protected' of overridden 'uvm_reg_block.sample' + at line 1147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:1147 [compile index 529] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:23 [compile index 532] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_protocol_error_t' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:25 [compile index 532] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'axs_without_lock' is not a member of 'error' (type 'mbox_protocol_error_t' is undeclared) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:31 [compile index 532] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'axs_incorrect_order' is not a member of 'error' (type 'mbox_protocol_error_t' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:56 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:43 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:45 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:68 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:70 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_mbox_csr_mbox_prot_error.svh:79 [compile index 532] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_intr_block_rf_ext.svh:55 [compile index 533] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_delay_job_intr_block_rf_ext.svh:66 [compile index 533] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:21 [compile index 535] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:99 [compile index 535] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_CMD' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:148 [compile index 535] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:178 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:26 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:28 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:31 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:115 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:138 [compile index 535] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_lock_lock.svh:164 [compile index 535] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:21 [compile index 536] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:80 [compile index 536] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DLEN' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:120 [compile index 536] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:130 [compile index 536] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:144 [compile index 536] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:36 [compile index 536] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_cmd_command.svh:41 [compile index 536] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:21 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:80 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:81 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:102 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_RDY_FOR_DATA' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:103 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:113 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:127 [compile index 537] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:36 [compile index 537] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dlen_length.svh:41 [compile index 537] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:45 [compile index 538] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:125 [compile index 538] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:134 [compile index 538] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:140 [compile index 538] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:143 [compile index 538] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:70 [compile index 538] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_datain_datain.svh:114 [compile index 538] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dataout_dataout.svh:55 [compile index 539] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dataout_dataout.svh:71 [compile index 539] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'pop_front' is not used + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_dataout_dataout.svh:98 [compile index 539] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:21 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:45 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:48 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:90 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:91 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:91 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:97 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:97 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:99 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:124 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:128 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:134 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:146 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:147 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:147 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:160 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:172 [compile index 540] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:186 [compile index 540] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:44 [compile index 540] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_status.svh:106 [compile index 540] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:60 [compile index 541] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:61 [compile index 541] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:65 [compile index 541] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:66 [compile index 541] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:74 [compile index 541] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_status_mbox_fsm_ps.svh:35 [compile index 541] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:21 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:42 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:43 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:82 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:86 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:154 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:162 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not declared + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:181 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:186 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:209 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:222 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:239 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:247 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not declared + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:266 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:271 [compile index 542] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:40 [compile index 542] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:43 [compile index 542] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:44 [compile index 542] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:57 [compile index 542] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_execute_execute.svh:78 [compile index 542] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:25 [compile index 543] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:26 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:24 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:25 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:26 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:27 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:28 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:40 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_mbox_csr_mbox_unlock_unlock.svh:61 [compile index 543] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_internal_intr_r_base.svh:101 [compile index 545] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_error_intr_trig_r_base.svh:71 [compile index 547] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_internal_intr_r_base.svh:101 [compile index 548] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_intr_block_rf_ext_notif_intr_trig_r_base.svh:71 [compile index 550] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh:76 [compile index 554] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'rm' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh:77 [compile index 554] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh:79 [compile index 554] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'rm' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh:79 [compile index 554] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_DATA_DATA.svh:91 [compile index 554] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_CTRL_CLEAR.svh:34 [compile index 556] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh:73 [compile index 558] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'rm' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh:74 [compile index 558] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh:76 [compile index 558] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'rm' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh:76 [compile index 558] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_STATUS_DATA_WR_DONE.svh:88 [compile index 558] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_VALID_PAUSER_PAUSER.svh:46 [compile index 559] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'rm' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_CPTRA_TRNG_VALID_PAUSER_PAUSER.svh:47 [compile index 559] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_soc_ifc_reg_internal_fw_update_reset.svh:47 [compile index 566] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_LOCK_LOCK.svh:25 [compile index 567] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_LOCK_LOCK.svh:80 [compile index 567] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_LOCK_LOCK.svh:107 [compile index 567] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_cbs_sha512_acc_csr_EXECUTE_EXECUTE.svh:36 [compile index 568] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_env_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:36 [compile index 763] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:31 [compile index 773] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:34 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:34 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:34 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:81 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:84 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:87 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:90 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:95 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:104 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_ctrl_agent_rand_seq' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:105 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:105 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:106 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_ctrl_agent_rand_seq' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:107 [compile index 773] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:107 [compile index 773] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:33 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:33 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:33 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:33 [compile index 767] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:65 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:67 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:70 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:89 [compile index 767] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:98 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:121 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:157 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:161 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:164 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'soc_ifc_ctrl_agent_config' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:183 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:183 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'soc_ifc_ctrl_agent_config' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:184 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'cptra_ctrl_agent_config' + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:186 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:186 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'cptra_ctrl_agent_config' + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:187 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'soc_ifc_status_agent_config' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:189 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:189 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'soc_ifc_status_agent_config' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:190 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'cptra_status_agent_config' + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:192 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:192 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'cptra_status_agent_config' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:193 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'mbox_sram_agent_config' + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:195 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:195 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'mbox_sram_agent_config' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:196 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_adaptation' is not declared + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:206 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:207 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:210 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:220 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:221 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:222 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'apb5_master_0_cfg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:224 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'apb5_master_0_cfg' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:225 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:227 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:227 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'apb5_master_0_cfg' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:233 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:233 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:238 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:238 [compile index 767] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'apb5_master_0_cfg' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:244 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:244 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:72 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:76 [compile index 767] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:143 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:156 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:168 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:169 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:343 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_apb_ap_output_transaction_t' (type 'apb3_host_apb3_transaction' is undeclared) + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:344 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:524 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_apb_ap_output_transaction_t' (type 'apb3_host_apb3_transaction' is undeclared) + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:525 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 612 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:612 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_apb_ap_output_transaction_t' (type 'apb3_host_apb3_transaction' is undeclared) + at line 613 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:613 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 678 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:678 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:680 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_transfer_size_e' + at line 687 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:687 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'mvc_sequence_item_base' is undeclared) + at line 700 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:700 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 705 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:705 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_sb_apb_ap_output_transaction_t' (type 'apb3_host_apb3_transaction' is undeclared) + at line 706 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:706 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 710 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:710 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 714 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:714 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 714 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:714 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 715 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:715 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 716 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:716 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 717 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:717 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 717 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:717 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 719 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:719 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 720 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:720 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 721 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:721 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 722 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:722 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 723 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:723 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:726 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:726 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'size' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 729 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:729 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 732 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:732 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 734 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:734 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:735 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 736 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:736 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 739 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:739 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:741 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 751 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:751 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 752 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:752 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 767 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:767 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 767 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:767 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 770 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:770 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 781 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:781 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 781 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:781 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 784 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:784 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 790 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:790 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 791 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:791 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 794 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:794 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 797 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:797 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 805 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:805 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 815 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:815 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 818 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:818 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 823 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:823 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 828 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:828 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 832 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:832 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 839 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:839 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 839 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:839 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 848 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:848 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 853 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:853 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 854 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:854 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 867 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:867 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 870 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:870 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 877 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:877 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 877 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:877 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 880 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:880 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 886 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:886 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 887 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:887 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 890 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:890 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 893 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:893 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 898 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:898 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 901 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:901 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 905 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:905 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 906 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:906 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 909 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:909 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 912 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:912 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 919 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:919 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'soc_ifc_sb_ahb_ap_output_transaction' + at line 922 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:922 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 944 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:944 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 972 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:972 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 979 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:979 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 990 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:990 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 995 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:995 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1007 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1007 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1015 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1015 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1028 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1028 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1048 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1048 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1059 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1059 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1071 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1071 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1076 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1076 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1088 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1088 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1115 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1119 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1138 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1149 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1154 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1159 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1172 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1177 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 1185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1185 [compile index 768] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ready_for_fw' is not a member of 'CPTRA_FLOW_STATUS' + at line 1186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1186 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 452 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:452 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:454 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:455 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 534 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:534 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 619 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:619 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:620 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 627 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:627 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 773 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:773 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 963 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:963 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 1112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1112 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 1785 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1785 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 1968 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:1968 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2184 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2310 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2608 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2608 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2610 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2610 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2915 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2915 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2937 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2937 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2942 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2942 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2950 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2950 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 2955 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:2955 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3029 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3029 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3030 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3030 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3049 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3049 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3050 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3050 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3068 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3068 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3069 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3069 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3112 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3113 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3142 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3143 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3484 [compile index 768] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 3510 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_predictor.svh:3510 [compile index 768] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:122 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:128 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:212 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:215 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:244 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:247 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:267 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:277 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:288 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:296 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:308 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 346 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:346 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:350 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:351 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 352 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:352 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:361 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 367 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:367 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:383 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 389 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:389 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:390 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:391 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 400 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:400 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:404 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:417 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:419 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:424 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:438 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:440 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 445 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:445 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:459 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 461 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:461 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:462 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:468 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:472 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:475 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:475 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:475 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:479 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:484 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 496 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:496 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 498 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:498 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 499 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:499 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 505 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:505 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 509 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:509 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3_v1_0_pkg' + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:512 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:512 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rd_data' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:512 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'read_or_write' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:512 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wr_data' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:512 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'mgc_apb3_v1_0_pkg' + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 't_exp' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rd_data' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'rd_data' is not a member of 't_exp' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'read_or_write' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'read_or_write' is not a member of 't_exp' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'slave_err' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'slave_err' is not a member of 't_exp' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wr_data' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wr_data' is not a member of 't_exp' (type 'apb3_host_apb3_transaction' is undeclared) + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:516 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'apb3_host_apb3_transaction' is undeclared) + at line 521 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:521 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'ahb_master_burst_transfer' + at line 637 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:637 [compile index 769] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 'apb3_host_apb3_transaction' + at line 638 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_scoreboard.svh:638 [compile index 769] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:414 [compile index 771] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 420 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:420 [compile index 771] +*** Error: MISSING_PARAMETER_OVERRIDE: Expected override or default value for parameter 'CONFIG_T' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:48 [compile index 771] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:504 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:512 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:513 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:514 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 517 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:517 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 519 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:519 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:525 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:528 [compile index 771] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:569 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'apb_txn' + at line 575 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:575 [compile index 771] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'addr' is not a member of 'apb_txn' + at line 579 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_cov_subscriber.svh:579 [compile index 771] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:36 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequence_item_base' is not declared + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:42 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequence_item_base' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:43 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:64 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:69 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:75 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:80 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:155 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:165 [compile index 772] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:166 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'qvip_ahb_lite_slave_subenv' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:167 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:167 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:168 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'qvip_apb5_slave_subenv' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:169 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:169 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:170 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'soc_ifc_ctrl_agent' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:171 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:171 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:172 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'cptra_ctrl_agent' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:173 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:173 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:174 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'soc_ifc_status_agent' + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:175 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:175 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:176 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'cptra_status_agent' + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:177 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:177 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:178 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'mbox_sram_agent' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:179 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:179 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:180 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:181 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:182 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:183 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:185 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:186 [compile index 772] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:188 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:189 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:192 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_predictor_t' (type 'ahb_reg_predictor' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:193 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'apb_reg_predictor_t' (type 'apb_reg_predictor' is undeclared) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:194 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'soc_ifc_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:198 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:199 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:199 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:200 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:215 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'soc_ifc_ctrl_agent' + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:216 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'cptra_ctrl_agent' + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:217 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'mbox_sram_agent' + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:218 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'soc_ifc_status_agent' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:223 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'cptra_status_agent' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:224 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:225 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'apb5_master_0' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:226 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:231 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:231 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:232 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:232 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:232 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:232 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:233 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:233 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:234 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:234 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:234 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'apb5_master_0' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:234 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'soc_ifc_status_agent' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:239 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'cptra_status_agent' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:240 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'mbox_sram_agent' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:241 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:246 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:247 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_adapter_t' (type 'reg2ahb_adapter' is undeclared) + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:248 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'en_n_bits' is not a member of 'ahb_reg_adapter' + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:249 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:254 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:254 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:255 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:255 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:256 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'apb5_master_0' + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:256 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:257 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'apb5_master_0' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:257 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:259 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'map' is not a member of 'ahb_reg_predictor' + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:260 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:260 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'map' is not a member of 'apb_reg_predictor' + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:261 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:261 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'adapter' is not a member of 'ahb_reg_predictor' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:262 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'adapter' is not a member of 'apb_reg_predictor' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:263 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bus_item_export' is not a member of 'ahb_reg_predictor' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:278 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bus_item_export' is not a member of 'apb_reg_predictor' + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:279 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_ap' is not a member of 'ahb_reg_predictor' + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:280 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_ap' is not a member of 'apb_reg_predictor' + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:281 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:300 [compile index 772] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:310 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:92 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg2ahb_adapter' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:99 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_host_apb3_transaction' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:107 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_reg_predictor' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:121 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb_reg_predictor' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:129 [compile index 772] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:139 [compile index 772] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_reset_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:31 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:31 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:31 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:83 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_ctrl_seq' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:84 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:84 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:126 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:135 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:144 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_owner_pk_hash' is not a member of 'soc_ifc_reg_rm' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:153 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:161 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:169 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_lms_verify' is not a member of 'soc_ifc_reg_rm' + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:176 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'fuse_lms_verify' is not a member of 'soc_ifc_reg_rm' + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:178 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:184 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:188 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:190 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:194 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:220 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:222 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:223 [compile index 774] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_reset_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:226 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:243 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:244 [compile index 774] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_sequence_base.svh:247 [compile index 774] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_bringup_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_bringup_sequence.svh:32 [compile index 775] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_bringup_sequence.svh:32 [compile index 775] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_bringup_sequence.svh:32 [compile index 775] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_rom_bringup_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh:32 [compile index 776] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh:32 [compile index 776] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh:32 [compile index 776] +*** Warning: ILLEGAL_NON_RAND_IN_CONSTRAINT: Cannot use non-rand variable 'this' in solve-before constraint + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh:66 [compile index 776] +*** Warning: ILLEGAL_NON_RAND_IN_CONSTRAINT: Cannot use non-rand variable 'this' in solve-before constraint + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_rom_bringup_sequence.svh:79 [compile index 776] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_pauser_init_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:32 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:32 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:32 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:60 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:61 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:64 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:86 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:87 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:91 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:92 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:95 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:102 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:105 [compile index 777] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_pauser_init_sequence.svh:108 [compile index 777] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_reset_warm_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_warm_sequence.svh:31 [compile index 778] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_warm_sequence.svh:31 [compile index 778] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_warm_sequence.svh:31 [compile index 778] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_reset_cold_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_cold_sequence.svh:31 [compile index 779] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_cold_sequence.svh:31 [compile index 779] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc/soc_ifc_env_reset_cold_sequence.svh:31 [compile index 779] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:31 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:31 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:31 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:49 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:97 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:97 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:115 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:121 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:211 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:212 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:248 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:276 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:277 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:278 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:280 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:287 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:288 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:289 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:310 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:315 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:321 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:333 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 349 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:349 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:354 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:360 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:371 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:405 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:409 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 414 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:414 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:422 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 426 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:426 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:431 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:456 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:463 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:478 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:482 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:491 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:491 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 493 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:493 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 497 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:497 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:502 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 505 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:505 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 506 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:506 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 518 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:518 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 521 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:521 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 537 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:537 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 542 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:542 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 558 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:558 [compile index 780] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 559 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:559 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 565 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:565 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 565 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:565 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 567 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:567 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:573 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:583 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 594 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:594 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 617 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:617 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:621 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 628 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:628 [compile index 780] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 637 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:637 [compile index 780] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sequence_base.svh:680 [compile index 780] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_fw_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh:30 [compile index 781] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh:30 [compile index 781] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh:30 [compile index 781] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_rand_fw_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_fw_sequence.svh:61 [compile index 781] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_real_fw_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:30 [compile index 782] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:30 [compile index 782] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:30 [compile index 782] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not a member of 'soc_ifc_pkg' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:33 [compile index 782] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not a member of 'soc_ifc_pkg' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:33 [compile index 782] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_real_fw_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_real_fw_sequence.svh:80 [compile index 782] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_fw_upd_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_fw_upd_sequence.svh:30 [compile index 783] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_fw_upd_sequence.svh:30 [compile index 783] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_fw_upd_sequence.svh:30 [compile index 783] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rom_fw_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:29 [compile index 784] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:29 [compile index 784] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:29 [compile index 784] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DEPTH' is not a member of 'soc_ifc_pkg' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:32 [compile index 784] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_DATA_W' is not a member of 'soc_ifc_pkg' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:32 [compile index 784] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_rom_fw_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rom_fw_sequence.svh:93 [compile index 784] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_small_sequence.svh:29 [compile index 785] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_small_sequence.svh:29 [compile index 785] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_small_sequence.svh:29 [compile index 785] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_min_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh:29 [compile index 786] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh:29 [compile index 786] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh:29 [compile index 786] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_min_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh:54 [compile index 786] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_min_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_min_sequence.svh:60 [compile index 786] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_max_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh:29 [compile index 787] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh:29 [compile index 787] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh:29 [compile index 787] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_max_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh:55 [compile index 787] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_max_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_max_sequence.svh:61 [compile index 787] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_sequence.svh:29 [compile index 788] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_sequence.svh:29 [compile index 788] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_sequence.svh:29 [compile index 788] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_large_sequence.svh:29 [compile index 789] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_large_sequence.svh:29 [compile index 789] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_large_sequence.svh:29 [compile index 789] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_medium_interference_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:29 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:29 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:29 [compile index 790] +*** Error: NON_EXISTING_TYPE: Non existing type 'apb3_rw_e' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:35 [compile index 790] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:42 [compile index 790] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:43 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'APB3_TRANS_READ' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:70 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'APB3_TRANS_READ' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:77 [compile index 790] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_rand_medium_interference_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:77 [compile index 790] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_rand_medium_interference_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:78 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:82 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:84 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:84 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:86 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:89 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:96 [compile index 790] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_medium_interference_sequence.svh:99 [compile index 790] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_pauser_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_sequence.svh:28 [compile index 791] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_sequence.svh:28 [compile index 791] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_sequence.svh:28 [compile index 791] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_pauser_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_small_sequence.svh:29 [compile index 792] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_small_sequence.svh:29 [compile index 792] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_small_sequence.svh:29 [compile index 792] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_pauser_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_medium_sequence.svh:29 [compile index 793] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_medium_sequence.svh:29 [compile index 793] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_medium_sequence.svh:29 [compile index 793] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_pauser_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_large_sequence.svh:29 [compile index 794] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_large_sequence.svh:29 [compile index 794] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_pauser_large_sequence.svh:29 [compile index 794] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_delay_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_sequence.svh:29 [compile index 795] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_sequence.svh:29 [compile index 795] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_sequence.svh:29 [compile index 795] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_delay_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_small_sequence.svh:30 [compile index 796] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_small_sequence.svh:30 [compile index 796] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_small_sequence.svh:30 [compile index 796] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_delay_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_medium_sequence.svh:30 [compile index 797] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_medium_sequence.svh:30 [compile index 797] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_medium_sequence.svh:30 [compile index 797] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_delay_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_large_sequence.svh:30 [compile index 798] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_large_sequence.svh:30 [compile index 798] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_delay_large_sequence.svh:30 [compile index 798] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_reg_axs_invalid_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:30 [compile index 799] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:30 [compile index 799] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:30 [compile index 799] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_reg_axs_invalid_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:141 [compile index 799] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_reg_axs_invalid_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:146 [compile index 799] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_reg_axs_invalid_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:155 [compile index 799] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:182 [compile index 799] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:187 [compile index 799] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_sequence.svh:193 [compile index 799] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_reg_axs_invalid_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_small_sequence.svh:29 [compile index 800] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_small_sequence.svh:29 [compile index 800] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_small_sequence.svh:29 [compile index 800] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_reg_axs_invalid_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_medium_sequence.svh:29 [compile index 801] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_medium_sequence.svh:29 [compile index 801] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_medium_sequence.svh:29 [compile index 801] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_reg_axs_invalid_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_large_sequence.svh:29 [compile index 802] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_large_sequence.svh:29 [compile index 802] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_reg_axs_invalid_large_sequence.svh:29 [compile index 802] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_invalid_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:30 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:30 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:30 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:38 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:39 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:42 [compile index 803] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_invalid_sequence.svh:44 [compile index 803] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dir_read_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dir_read_sequence.svh:30 [compile index 804] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dir_read_sequence.svh:30 [compile index 804] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dir_read_sequence.svh:30 [compile index 804] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_overflow_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh:29 [compile index 805] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh:29 [compile index 805] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh:29 [compile index 805] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_dlen_overflow_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh:66 [compile index 805] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_dlen_overflow_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_sequence.svh:72 [compile index 805] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_overflow_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_small_sequence.svh:29 [compile index 806] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_small_sequence.svh:29 [compile index 806] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_small_sequence.svh:29 [compile index 806] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_overflow_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_medium_sequence.svh:29 [compile index 807] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_medium_sequence.svh:29 [compile index 807] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_medium_sequence.svh:29 [compile index 807] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_overflow_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_large_sequence.svh:29 [compile index 808] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_large_sequence.svh:29 [compile index 808] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_overflow_large_sequence.svh:29 [compile index 808] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_underflow_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh:29 [compile index 809] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh:29 [compile index 809] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh:29 [compile index 809] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_dlen_underflow_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh:65 [compile index 809] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_dlen_underflow_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_sequence.svh:71 [compile index 809] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_underflow_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_small_sequence.svh:29 [compile index 810] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_small_sequence.svh:29 [compile index 810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_small_sequence.svh:29 [compile index 810] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_underflow_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_medium_sequence.svh:29 [compile index 811] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_medium_sequence.svh:29 [compile index 811] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_medium_sequence.svh:29 [compile index 811] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_dlen_underflow_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_large_sequence.svh:29 [compile index 812] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_large_sequence.svh:29 [compile index 812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_dlen_underflow_large_sequence.svh:29 [compile index 812] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sram_double_bit_flip_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:41 [compile index 813] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:41 [compile index 813] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:41 [compile index 813] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:123 [compile index 813] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_mbox_sram_ecc_double_error_injection' is not used + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:101 [compile index 813] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'clr_mbox_sram_ecc_error_injection' is not used + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_sequence.svh:104 [compile index 813] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sram_double_bit_flip_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_small_sequence.svh:29 [compile index 814] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_small_sequence.svh:29 [compile index 814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_small_sequence.svh:29 [compile index 814] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence.svh:29 [compile index 815] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence.svh:29 [compile index 815] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_medium_sequence.svh:29 [compile index 815] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sram_double_bit_flip_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_large_sequence.svh:29 [compile index 816] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_large_sequence.svh:29 [compile index 816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sram_double_bit_flip_large_sequence.svh:29 [compile index 816] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rand_multi_agent_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:44 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:44 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:44 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:63 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:64 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:73 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:74 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:75 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:77 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:238 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_sequence_base' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:240 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:240 [compile index 817] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'wait_for_sequence_state' is not a member of 'soc_ifc_env_mbox_sequence_base' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:245 [compile index 817] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:99 [compile index 817] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rand_multi_agent_sequence.svh:113 [compile index 817] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:36 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:36 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:36 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:56 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_seq' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:109 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:109 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:112 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_rst_seq' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:116 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:116 [compile index 818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kill' is not a member of 'soc_ifc_env_mbox_seq' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:120 [compile index 818] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:49 [compile index 818] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_sequence.svh:75 [compile index 818] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rst_warm_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 819] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 819] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 819] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_rst_cold_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 820] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 820] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_uc_reg_access_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:30 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:30 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:30 [compile index 821] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_uc_reg_access_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:113 [compile index 821] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:121 [compile index 821] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:122 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:126 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:128 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:128 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:130 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:133 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:140 [compile index 821] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_uc_reg_access_sequence.svh:147 [compile index 821] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_mbox_sha_accel_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:29 [compile index 822] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:29 [compile index 822] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:29 [compile index 822] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:148 [compile index 822] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not a member of 'this' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:153 [compile index 822] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:175 [compile index 822] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:193 [compile index 822] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:208 [compile index 822] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_mbox_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:212 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:123 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:127 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:128 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:129 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:130 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:131 [compile index 822] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_mbox_sha_accel_sequence.svh:152 [compile index 822] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_sha_accel_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:30 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:30 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:30 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:61 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:62 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:176 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:180 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:181 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:185 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:186 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:198 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:202 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:206 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:208 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:210 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:226 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:234 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:240 [compile index 823] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:245 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:246 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:256 [compile index 823] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_sha_accel_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:268 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:97 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:132 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:136 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:137 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:138 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:139 [compile index 823] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/sha_accel/soc_ifc_env_sha_accel_sequence.svh:140 [compile index 823] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_trng_write_data_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:29 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:29 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:29 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:63 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:64 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:107 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:108 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:114 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_TRNG_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:115 [compile index 824] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_trng_write_data_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:152 [compile index 824] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_trng_write_data_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:172 [compile index 824] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_trng_write_data_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:185 [compile index 824] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_trng_write_data_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:201 [compile index 824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:205 [compile index 824] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_trng_write_data_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc/soc_ifc_env_trng_write_data_sequence.svh:206 [compile index 824] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_soc_mbox_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:34 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:34 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:34 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:93 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:118 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:141 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:142 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:143 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:145 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:151 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:152 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:153 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:194 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:200 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:207 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:226 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:229 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:243 [compile index 825] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:254 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:257 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:259 [compile index 825] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:270 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:272 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:275 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:276 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:276 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_UC' is not declared + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:279 [compile index 825] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:296 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 302 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:302 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:304 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:311 [compile index 825] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_handler_sequence.svh:313 [compile index 825] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:34 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:34 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:34 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:50 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:82 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:129 [compile index 826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:133 [compile index 826] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:163 [compile index 826] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:168 [compile index 826] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:172 [compile index 826] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:125 [compile index 826] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:199 [compile index 826] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:204 [compile index 826] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc/soc_ifc_env_soc_mbox_reg_axs_invalid_handler_sequence.svh:210 [compile index 826] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_wdt_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:31 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:31 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:31 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:79 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_ctrl_seq' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:80 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:80 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:96 [compile index 827] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_wdt_sequence_base.svh:97 [compile index 827] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_wdt_independent_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_independent_sequence.svh:32 [compile index 828] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_independent_sequence.svh:32 [compile index 828] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_independent_sequence.svh:32 [compile index 828] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_wdt_cascade_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_cascade_sequence.svh:32 [compile index 829] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_cascade_sequence.svh:32 [compile index 829] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/wdt/cptra/soc_ifc_env_cptra_wdt_cascade_sequence.svh:32 [compile index 829] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_rst_wait_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh:31 [compile index 830] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh:31 [compile index 830] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh:31 [compile index 830] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_rst_wait_sequence.svh:55 [compile index 830] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_init_interrupts_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:31 [compile index 831] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:31 [compile index 831] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:31 [compile index 831] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:46 [compile index 831] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_init_interrupts_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:58 [compile index 831] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_init_interrupts_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:63 [compile index 831] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_init_interrupts_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:72 [compile index 831] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_init_interrupts_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/cptra/soc_ifc_env_cptra_init_interrupts_sequence.svh:81 [compile index 831] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_reset_warm_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:31 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:31 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:31 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:57 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_rst_seq' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:119 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:119 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:120 [compile index 832] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:120 [compile index 832] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:49 [compile index 832] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_warm_sequence.svh:85 [compile index 832] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_reset_cold_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:31 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:31 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:31 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:57 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_rst_seq' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:119 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:119 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:120 [compile index 833] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:120 [compile index 833] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:49 [compile index 833] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/bringup/soc_ifc_env_top_reset_cold_sequence.svh:85 [compile index 833] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:32 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:32 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:32 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:100 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:148 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:158 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:210 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:218 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:225 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:227 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:243 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:251 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:257 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:268 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:271 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:285 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 306 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:306 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 307 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:307 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:313 [compile index 834] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:325 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:328 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_SIZE_BYTES' is not declared + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:331 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:331 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:332 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:333 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 335 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:335 [compile index 834] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 346 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:346 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:348 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:351 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:353 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:356 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_EXECUTE_SOC' is not declared + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:358 [compile index 834] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:371 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:383 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:385 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:386 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:394 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 396 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:396 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 398 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:398 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:404 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:407 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:418 [compile index 834] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 421 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:421 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:422 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 428 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:428 [compile index 834] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_handler_sequence.svh:434 [compile index 834] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_interference_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:32 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:32 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:32 [compile index 835] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_rnw_e' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:40 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'AHB_READ' is not a member of 'this' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:78 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'AHB_READ' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:82 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:83 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:87 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:93 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:100 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:106 [compile index 835] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:122 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:142 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:145 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:155 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:157 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:159 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:160 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:168 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:170 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:172 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:178 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:192 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:195 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:196 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:202 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:208 [compile index 835] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_rnw_e' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:235 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'AHB_READ' is not declared + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:269 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'AHB_READ' is not declared + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:275 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:275 [compile index 835] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_interference_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:276 [compile index 835] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_interference_handler_sequence.svh:283 [compile index 835] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh:32 [compile index 836] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh:32 [compile index 836] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh:32 [compile index 836] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_overread_handler_sequence.svh:55 [compile index 836] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh:32 [compile index 837] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh:32 [compile index 837] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh:32 [compile index 837] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_dlen_underread_handler_sequence.svh:65 [compile index 837] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_req_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:33 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:33 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:33 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:63 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:63 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:123 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:124 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:182 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:184 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:193 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:195 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:214 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:218 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:219 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:225 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:234 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:248 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:252 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:253 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:259 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:268 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:301 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:304 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 324 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:324 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 336 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:336 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:344 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:344 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 346 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:346 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:350 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_status_e' is not declared + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:353 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mbox_fsm_state_e' is not declared + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:354 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:365 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 366 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:366 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:371 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_BUSY' is not declared + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:373 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_IDLE' is not declared + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:373 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:373 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:380 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:387 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'DATA_READY' is not declared + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:393 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 396 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:396 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_FAILURE' is not declared + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:399 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CMD_COMPLETE' is not declared + at line 402 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:402 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:422 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:433 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 445 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:445 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 447 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:447 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_status_e' + at line 452 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:452 [compile index 838] +*** Error: NON_EXISTING_TYPE: Non existing type 'mbox_fsm_state_e' + at line 453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:453 [compile index 838] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'MBOX_ERROR' is not declared + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:456 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:484 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:488 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:502 [compile index 838] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_mbox_req_sequence_base' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_sequence_base.svh:512 [compile index 838] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_mbox_req_rand_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_rand_small_sequence.svh:29 [compile index 839] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_rand_small_sequence.svh:29 [compile index 839] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/cptra/soc_ifc_env_cptra_mbox_req_rand_small_sequence.svh:29 [compile index 839] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:32 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:32 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:32 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:58 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:115 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:115 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_handler_seq' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:116 [compile index 840] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:116 [compile index 840] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:50 [compile index 840] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_sequence_base.svh:86 [compile index 840] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_min_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh:32 [compile index 841] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh:32 [compile index 841] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_min_sequence.svh:32 [compile index 841] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_max_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh:32 [compile index 842] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh:32 [compile index 842] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_max_sequence.svh:32 [compile index 842] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh:32 [compile index 843] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh:32 [compile index 843] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_sequence.svh:32 [compile index 843] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh:32 [compile index 844] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh:32 [compile index 844] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_sequence.svh:32 [compile index 844] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh:32 [compile index 845] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh:32 [compile index 845] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_sequence.svh:32 [compile index 845] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_small_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh:32 [compile index 846] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh:32 [compile index 846] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_small_unlock_sequence.svh:32 [compile index 846] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_medium_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh:32 [compile index 847] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh:32 [compile index 847] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_medium_unlock_sequence.svh:32 [compile index 847] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_large_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh:32 [compile index 848] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh:32 [compile index 848] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_large_unlock_sequence.svh:32 [compile index 848] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_contention_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh:31 [compile index 849] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh:31 [compile index 849] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_contention_sequence.svh:31 [compile index 849] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:32 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:32 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:32 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:78 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_PAUSER_LOCK' is not a member of 'soc_ifc_reg_rm' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:80 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:81 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CPTRA_MBOX_VALID_PAUSER' is not a member of 'soc_ifc_reg_rm' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:86 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:92 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:92 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_pauser_seq' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:102 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:102 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_handler_seq' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:103 [compile index 850] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_sequence.svh:103 [compile index 850] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_cptra_trng_data_req_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:29 [compile index 851] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:29 [compile index 851] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:29 [compile index 851] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_body' is not a member of 'super' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:48 [compile index 851] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:49 [compile index 851] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_trng_data_req_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:81 [compile index 851] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_trng_data_req_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:88 [compile index 851] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:102 [compile index 851] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_trng_data_req_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:114 [compile index 851] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'soc_ifc_env_cptra_trng_data_req_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/cptra/soc_ifc_env_cptra_trng_data_req_sequence.svh:119 [compile index 851] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_trng_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:34 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:34 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:34 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:55 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_trng_data_req_seq' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:113 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:113 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_trng_write_data_seq' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:117 [compile index 852] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:117 [compile index 852] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:49 [compile index 852] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_sequence.svh:82 [compile index 852] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_trng_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:35 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:35 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:35 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:58 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_trng_data_req_seq' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:137 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:137 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_trng_write_data_seq' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:141 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:141 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:145 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_warm_rst_seq' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:150 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:150 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kill' is not a member of 'soc_ifc_env_trng_write_data_seq' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:154 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:160 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:160 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_trng_write_data_seq' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:165 [compile index 853] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:165 [compile index 853] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:52 [compile index 853] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/trng/soc_ifc_env_top_trng_reset_sequence.svh:85 [compile index 853] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh:32 [compile index 854] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh:32 [compile index 854] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_sequence.svh:32 [compile index 854] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh:32 [compile index 855] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh:32 [compile index 855] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_sequence.svh:32 [compile index 855] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh:32 [compile index 856] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh:32 [compile index 856] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_sequence.svh:32 [compile index 856] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh:32 [compile index 857] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh:32 [compile index 857] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_small_unlock_sequence.svh:32 [compile index 857] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh:32 [compile index 858] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh:32 [compile index 858] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_medium_unlock_sequence.svh:32 [compile index 858] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh:32 [compile index 859] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh:32 [compile index 859] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_pauser_large_unlock_sequence.svh:32 [compile index 859] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_delay_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh:32 [compile index 860] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh:32 [compile index 860] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_small_sequence.svh:32 [compile index 860] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_delay_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh:32 [compile index 861] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh:32 [compile index 861] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_medium_sequence.svh:32 [compile index 861] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rand_delay_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh:32 [compile index 862] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh:32 [compile index 862] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rand_delay_large_sequence.svh:32 [compile index 862] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 863] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 863] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 863] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh:32 [compile index 864] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh:32 [compile index 864] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_medium_sequence.svh:32 [compile index 864] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh:32 [compile index 865] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh:32 [compile index 865] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_reg_axs_invalid_large_sequence.svh:32 [compile index 865] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_multi_agent_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:32 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:32 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:32 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'pre_do' is not a member of 'super' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:50 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:59 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_seq' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:118 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:118 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_mbox_handler_sequence' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:123 [compile index 866] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:123 [compile index 866] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_and_randomize_seqs' is not used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_multi_agent_sequence.svh:46 [compile index 866] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_dlen_violation_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh:32 [compile index 867] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh:32 [compile index 867] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_dlen_violation_sequence.svh:32 [compile index 867] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:33 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:33 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:33 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_mbox_seq' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:83 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:83 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_handler_seq' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:86 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:86 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:90 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_rst_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:94 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:94 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kill' is not a member of 'soc_ifc_env_mbox_seq' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:98 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'kill' is not a member of 'soc_ifc_env_cptra_handler_seq' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:99 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:105 [compile index 868] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_sequence.svh:105 [compile index 868] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 869] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 869] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_warm_rand_medium_sequence.svh:35 [compile index 869] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 870] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 870] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_mbox_rst_cold_rand_medium_sequence.svh:35 [compile index 870] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_cptra_mbox_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:32 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:32 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:32 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:58 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_mbox_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:115 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:115 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_soc_handler_seq' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:116 [compile index 871] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:116 [compile index 871] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'create_seqs' is not used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:50 [compile index 871] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize_seqs' is not used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_sequence_base.svh:86 [compile index 871] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_cptra_mbox_rand_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh:32 [compile index 872] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh:32 [compile index 872] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_rand_small_sequence.svh:32 [compile index 872] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 873] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 873] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/sequences/mbox/soc_ifc_env_top_cptra_mbox_reg_axs_invalid_small_sequence.svh:32 [compile index 873] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_reg_adapter_functions_pkg' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_adapter_functions_pkg.sv:17 [compile index 929] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_adapter_functions_pkg.sv:26 [compile index 929] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_read_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv:45 [compile index 930] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:33 [compile index 934] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:35 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:35 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:35 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:35 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:117 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:157 [compile index 934] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:178 [compile index 934] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:33 [compile index 935] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:43 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:43 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:43 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:43 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:79 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:79 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:85 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:85 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:92 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:103 [compile index 935] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:132 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:136 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:145 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:154 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:156 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:172 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:183 [compile index 935] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:191 [compile index 935] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:72 [compile index 935] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:72 [compile index 935] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_READ_REQUESTOR' when calling '$psprintf' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:163 [compile index 935] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:35 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:77 [compile index 936] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:84 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:85 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:85 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:93 [compile index 936] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:97 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:99 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:99 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:101 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:103 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:106 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:109 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:110 [compile index 936] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:114 [compile index 936] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:33 [compile index 939] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:43 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:43 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:43 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:43 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:75 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:107 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:108 [compile index 939] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:117 [compile index 939] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh:39 [compile index 940] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh:39 [compile index 940] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh:39 [compile index 940] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh:62 [compile index 940] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_random_sequence.svh:66 [compile index 940] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_key_entry_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:37 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:37 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:37 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:66 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:67 [compile index 941] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_read_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:71 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:71 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:71 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:71 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:71 [compile index 941] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_read_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:78 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:78 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:78 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:78 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:78 [compile index 941] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_read_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:84 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:84 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:84 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:84 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:84 [compile index 941] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_read_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:90 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:90 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:90 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:90 [compile index 941] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:90 [compile index 941] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:66 [compile index 941] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_key_entry_sequence.svh:67 [compile index 941] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh:40 [compile index 942] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh:40 [compile index 942] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh:40 [compile index 942] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh:58 [compile index 942] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_responder_sequence.svh:59 [compile index 942] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:31 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:67 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:75 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:76 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:76 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:80 [compile index 944] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:80 [compile index 944] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_read_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read2reg_adapter.svh:100 [compile index 943] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_reg_adapter_functions_pkg' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_adapter_functions_pkg.sv:17 [compile index 945] +*** Warning: STATIC_INITIALIZATION: Explicit 'static' or 'automatic' is required when implicit static variable is initialized + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_adapter_functions_pkg.sv:26 [compile index 945] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_read_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv:45 [compile index 946] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:34 [compile index 950] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:36 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:36 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:36 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:36 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:118 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:159 [compile index 950] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:181 [compile index 950] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:33 [compile index 951] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:43 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:43 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:43 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:43 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:79 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:79 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:85 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:85 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:92 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:103 [compile index 951] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:132 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:136 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:145 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:154 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:156 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:172 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:183 [compile index 951] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:191 [compile index 951] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:72 [compile index 951] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:72 [compile index 951] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_READ_REQUESTOR' when calling '$psprintf' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:163 [compile index 951] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:35 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:77 [compile index 952] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:84 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:85 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:85 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:93 [compile index 952] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:97 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:99 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:99 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:101 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:103 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:106 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:109 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:110 [compile index 952] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:114 [compile index 952] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:33 [compile index 955] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:43 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:43 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:43 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:43 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:75 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:107 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:108 [compile index 955] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:117 [compile index 955] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh:41 [compile index 956] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh:41 [compile index 956] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh:41 [compile index 956] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh:66 [compile index 956] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_random_sequence.svh:70 [compile index 956] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_pcr_entry_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:37 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:37 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:37 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:66 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:67 [compile index 957] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_read_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:71 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:71 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:71 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:71 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:71 [compile index 957] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_read_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:78 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:78 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:78 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:78 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:78 [compile index 957] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_read_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:84 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:84 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:84 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:84 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:84 [compile index 957] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_read_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:90 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:90 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:90 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:90 [compile index 957] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:90 [compile index 957] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:66 [compile index 957] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_pcr_entry_sequence.svh:67 [compile index 957] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh:40 [compile index 958] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh:40 [compile index 958] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh:40 [compile index 958] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh:58 [compile index 958] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_responder_sequence.svh:59 [compile index 958] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:31 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:67 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:75 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:76 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:76 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:80 [compile index 960] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:80 [compile index 960] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_read_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read2reg_adapter.svh:101 [compile index 959] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_in_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv:31 [compile index 961] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:21 [compile index 965] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:23 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:23 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:23 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:23 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:104 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:146 [compile index 965] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:166 [compile index 965] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:20 [compile index 966] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:32 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:32 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:32 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:32 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:70 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:70 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:76 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:76 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:83 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:94 [compile index 966] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:123 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:127 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:137 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:147 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:149 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:165 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:176 [compile index 966] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:184 [compile index 966] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:63 [compile index 966] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:63 [compile index 966] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:22 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:69 [compile index 967] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:76 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:77 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:77 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:85 [compile index 967] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:89 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:91 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:91 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:93 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:95 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:98 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:101 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:102 [compile index 967] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:106 [compile index 967] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:20 [compile index 970] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:32 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:32 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:32 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:32 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:67 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:99 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:100 [compile index 970] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:109 [compile index 970] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh:29 [compile index 971] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh:29 [compile index 971] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh:29 [compile index 971] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh:56 [compile index 971] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_random_sequence.svh:60 [compile index 971] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh:28 [compile index 972] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh:28 [compile index 972] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh:28 [compile index 972] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh:53 [compile index 972] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_reset_sequence.svh:59 [compile index 972] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_otf_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh:28 [compile index 973] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh:28 [compile index 973] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh:28 [compile index 973] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh:53 [compile index 973] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_otf_reset_sequence.svh:60 [compile index 973] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_normal_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh:28 [compile index 974] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh:28 [compile index 974] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh:28 [compile index 974] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh:53 [compile index 974] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_normal_sequence.svh:61 [compile index 974] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh:28 [compile index 975] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh:28 [compile index 975] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh:28 [compile index 975] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh:48 [compile index 975] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_responder_sequence.svh:49 [compile index 975] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:18 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:60 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:68 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:69 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:69 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:73 [compile index 977] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:73 [compile index 977] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_in_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in2reg_adapter.svh:81 [compile index 976] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_out_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv:31 [compile index 978] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:22 [compile index 982] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:24 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:24 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:24 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:24 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:109 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:152 [compile index 982] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:178 [compile index 982] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:21 [compile index 983] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:35 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:35 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:35 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:35 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:75 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:75 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:81 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:81 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:88 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:99 [compile index 983] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:128 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:132 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:143 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:154 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:156 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:172 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:183 [compile index 983] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:191 [compile index 983] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:68 [compile index 983] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:68 [compile index 983] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:23 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:75 [compile index 984] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:82 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:83 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:83 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:91 [compile index 984] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:95 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:97 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:97 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:99 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:101 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:104 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:107 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:108 [compile index 984] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:112 [compile index 984] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:21 [compile index 987] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:35 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:35 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:35 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:35 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:73 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:105 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:106 [compile index 987] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:115 [compile index 987] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh:31 [compile index 988] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh:31 [compile index 988] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh:31 [compile index 988] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh:60 [compile index 988] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_random_sequence.svh:64 [compile index 988] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh:30 [compile index 989] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh:30 [compile index 989] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh:30 [compile index 989] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh:52 [compile index 989] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_responder_sequence.svh:53 [compile index 989] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:19 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:67 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:75 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:76 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:76 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:80 [compile index 991] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:80 [compile index 991] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_out_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out2reg_adapter.svh:85 [compile index 990] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_in_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv:31 [compile index 992] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:22 [compile index 996] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:24 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:24 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:24 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:24 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:119 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:162 [compile index 996] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:184 [compile index 996] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:21 [compile index 997] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:35 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:35 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:35 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:35 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:75 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:75 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:81 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:81 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:88 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:99 [compile index 997] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:128 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:132 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:143 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:154 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:156 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:172 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:183 [compile index 997] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:191 [compile index 997] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:68 [compile index 997] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:68 [compile index 997] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:23 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:75 [compile index 998] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:82 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:83 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:83 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:91 [compile index 998] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:95 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:97 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:97 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:99 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:101 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:104 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:107 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:108 [compile index 998] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:112 [compile index 998] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:21 [compile index 1001] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:35 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:35 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:35 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:35 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:73 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:105 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:106 [compile index 1001] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:115 [compile index 1001] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh:31 [compile index 1002] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh:31 [compile index 1002] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh:31 [compile index 1002] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh:61 [compile index 1002] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_random_sequence.svh:73 [compile index 1002] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh:30 [compile index 1003] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh:30 [compile index 1003] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh:30 [compile index 1003] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh:57 [compile index 1003] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_reset_sequence.svh:63 [compile index 1003] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_otf_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh:30 [compile index 1004] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh:30 [compile index 1004] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh:30 [compile index 1004] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh:57 [compile index 1004] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_otf_reset_sequence.svh:63 [compile index 1004] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh:30 [compile index 1005] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh:30 [compile index 1005] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh:30 [compile index 1005] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh:52 [compile index 1005] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_responder_sequence.svh:53 [compile index 1005] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:19 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:67 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:75 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:76 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:76 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:80 [compile index 1007] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:80 [compile index 1007] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_in_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in2reg_adapter.svh:85 [compile index 1006] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_out_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv:31 [compile index 1008] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:23 [compile index 1012] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:25 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:25 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:25 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:25 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:105 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:149 [compile index 1012] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:170 [compile index 1012] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:22 [compile index 1013] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:38 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:38 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:38 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:38 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:80 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:80 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:86 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:86 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:93 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:104 [compile index 1013] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:133 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:137 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:149 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:161 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:163 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:179 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:190 [compile index 1013] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:198 [compile index 1013] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:73 [compile index 1013] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:73 [compile index 1013] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:24 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:81 [compile index 1014] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:88 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:89 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:89 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:97 [compile index 1014] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:101 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:103 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:103 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:105 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:107 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:110 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:113 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:114 [compile index 1014] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:118 [compile index 1014] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:22 [compile index 1017] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:38 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:38 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:38 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:38 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:79 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:111 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:112 [compile index 1017] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:121 [compile index 1017] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh:33 [compile index 1018] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh:33 [compile index 1018] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh:33 [compile index 1018] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh:64 [compile index 1018] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_random_sequence.svh:68 [compile index 1018] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh:32 [compile index 1019] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh:32 [compile index 1019] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh:32 [compile index 1019] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh:56 [compile index 1019] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_responder_sequence.svh:57 [compile index 1019] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:20 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:74 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:82 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:83 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:83 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:87 [compile index 1021] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:87 [compile index 1021] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_out_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out2reg_adapter.svh:89 [compile index 1020] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_rst_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv:45 [compile index 1022] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:33 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:33 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:33 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:33 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:113 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:151 [compile index 1026] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:165 [compile index 1026] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:34 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:34 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:34 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:34 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:64 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:64 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:70 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:70 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:77 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:88 [compile index 1027] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:117 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:121 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:127 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:133 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:135 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:151 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:162 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:170 [compile index 1027] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:57 [compile index 1027] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:57 [compile index 1027] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:142 [compile index 1027] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:59 [compile index 1028] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:66 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:67 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:67 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:75 [compile index 1028] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:79 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:81 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:81 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:83 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:85 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:88 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:91 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:92 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:96 [compile index 1028] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:52 [compile index 1029] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:59 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:60 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:60 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:70 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:78 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:88 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:89 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:90 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:90 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:91 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:92 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:92 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:94 [compile index 1029] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:94 [compile index 1029] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:34 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:34 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:34 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:34 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:57 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:89 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:90 [compile index 1031] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:99 [compile index 1031] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh:35 [compile index 1032] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh:35 [compile index 1032] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh:35 [compile index 1032] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh:54 [compile index 1032] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_random_sequence.svh:58 [compile index 1032] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh:34 [compile index 1033] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh:34 [compile index 1033] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh:34 [compile index 1033] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh:46 [compile index 1033] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_responder_sequence.svh:47 [compile index 1033] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_poweron_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:34 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:34 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:34 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:51 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:60 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:65 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:74 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:79 [compile index 1035] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_poweron_sequence.svh:88 [compile index 1035] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_warm_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:34 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:34 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:34 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:51 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:61 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:66 [compile index 1036] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_warm_rst_sequence.svh:76 [compile index 1036] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:34 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:34 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:34 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:52 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:62 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:67 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:77 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:82 [compile index 1037] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_cold_rst_sequence.svh:92 [compile index 1037] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_core_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:34 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:34 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:34 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:51 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:61 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:66 [compile index 1038] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_core_rst_sequence.svh:76 [compile index 1038] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_debug_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:34 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:34 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:34 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:51 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:61 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:66 [compile index 1039] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_sequence.svh:76 [compile index 1039] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_debug_on_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh:34 [compile index 1040] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh:34 [compile index 1040] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh:34 [compile index 1040] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh:51 [compile index 1040] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_on_sequence.svh:61 [compile index 1040] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_debug_off_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh:34 [compile index 1041] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh:34 [compile index 1041] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh:34 [compile index 1041] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh:51 [compile index 1041] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_debug_off_sequence.svh:61 [compile index 1041] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_scan_on_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:34 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:34 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:34 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:51 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:62 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:67 [compile index 1042] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_on_sequence.svh:77 [compile index 1042] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_scan_off_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh:34 [compile index 1043] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh:34 [compile index 1043] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh:34 [compile index 1043] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh:51 [compile index 1043] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_scan_off_sequence.svh:61 [compile index 1043] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:46 [compile index 1044] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:54 [compile index 1044] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:55 [compile index 1044] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:55 [compile index 1044] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:59 [compile index 1044] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:59 [compile index 1044] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rst_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst2reg_adapter.svh:79 [compile index 1034] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_write_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv:45 [compile index 1045] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:33 [compile index 1049] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:35 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:35 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:35 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:35 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:119 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:159 [compile index 1049] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:180 [compile index 1049] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:33 [compile index 1050] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:43 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:43 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:43 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:43 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:79 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:79 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:85 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:85 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:92 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:103 [compile index 1050] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:132 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:136 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:145 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:154 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:156 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:172 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:183 [compile index 1050] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:191 [compile index 1050] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:72 [compile index 1050] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:72 [compile index 1050] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'KV_WRITE_REQUESTOR' when calling '$psprintf' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:163 [compile index 1050] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:35 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:77 [compile index 1051] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:84 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:85 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:85 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:93 [compile index 1051] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:97 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:99 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:99 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:101 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:103 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:106 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:109 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:110 [compile index 1051] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:114 [compile index 1051] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:33 [compile index 1054] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:43 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:43 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:43 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:43 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:75 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:107 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:108 [compile index 1054] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:117 [compile index 1054] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh:39 [compile index 1055] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh:39 [compile index 1055] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh:39 [compile index 1055] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh:63 [compile index 1055] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_random_sequence.svh:67 [compile index 1055] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_key_ctrl_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh:39 [compile index 1056] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh:39 [compile index 1056] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh:39 [compile index 1056] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh:74 [compile index 1056] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_ctrl_sequence.svh:78 [compile index 1056] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_key_entry_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:37 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:37 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:37 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:67 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:68 [compile index 1057] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_write_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:73 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:73 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:73 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:73 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:73 [compile index 1057] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_write_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:81 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:81 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:81 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:81 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:81 [compile index 1057] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_write_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:88 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:88 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:88 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:88 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:88 [compile index 1057] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_write_key_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:95 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:95 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:95 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:95 [compile index 1057] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:95 [compile index 1057] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:67 [compile index 1057] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_key_entry_sequence.svh:68 [compile index 1057] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_AHB_lock_set_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:37 [compile index 1058] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:37 [compile index 1058] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:37 [compile index 1058] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:59 [compile index 1058] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:59 [compile index 1058] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'reg_model' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_AHB_lock_set_sequence.svh:64 [compile index 1058] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh:40 [compile index 1059] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh:40 [compile index 1059] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh:40 [compile index 1059] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh:58 [compile index 1059] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_responder_sequence.svh:59 [compile index 1059] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:31 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:67 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:75 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:76 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:76 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:80 [compile index 1061] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:80 [compile index 1061] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_write_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write2reg_adapter.svh:105 [compile index 1060] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_rst_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv:45 [compile index 1062] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:33 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:33 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:33 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:33 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:111 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:149 [compile index 1066] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:163 [compile index 1066] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:34 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:34 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:34 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:34 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:64 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:64 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:70 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:70 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:77 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:88 [compile index 1067] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:117 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:121 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:127 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:133 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:135 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:151 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:162 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:170 [compile index 1067] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:57 [compile index 1067] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:57 [compile index 1067] +*** Warning: EXTRA_ARG_FORMAT_SPEC: Extra argument ' ' when calling '$psprintf' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:142 [compile index 1067] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:59 [compile index 1068] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:66 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:67 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:67 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:75 [compile index 1068] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:79 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:81 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:81 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:83 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:85 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:88 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:91 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:92 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:96 [compile index 1068] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:52 [compile index 1069] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:59 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:60 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:60 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:70 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:78 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:88 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:89 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:90 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:90 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:91 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'time_stamp' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:92 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:92 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'analyze' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:94 [compile index 1069] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'trans' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:94 [compile index 1069] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:34 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:34 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:34 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:34 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:57 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:89 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:90 [compile index 1071] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:99 [compile index 1071] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh:35 [compile index 1072] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh:35 [compile index 1072] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh:35 [compile index 1072] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh:54 [compile index 1072] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_random_sequence.svh:58 [compile index 1072] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:34 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:34 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:34 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:52 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:60 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:65 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:73 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:78 [compile index 1073] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_cold_rst_sequence.svh:86 [compile index 1073] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_warm_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:34 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:34 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:34 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:51 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:59 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:64 [compile index 1074] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_warm_rst_sequence.svh:72 [compile index 1074] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_core_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:34 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:34 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:34 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:51 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:59 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:64 [compile index 1075] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_core_rst_sequence.svh:72 [compile index 1075] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_poweron_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:34 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:34 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:34 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:51 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:58 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:63 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:70 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:75 [compile index 1076] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_poweron_sequence.svh:82 [compile index 1076] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh:34 [compile index 1077] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh:34 [compile index 1077] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh:34 [compile index 1077] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh:46 [compile index 1077] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_responder_sequence.svh:47 [compile index 1077] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:46 [compile index 1079] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:54 [compile index 1079] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:55 [compile index 1079] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:55 [compile index 1079] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:59 [compile index 1079] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:59 [compile index 1079] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rst_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst2reg_adapter.svh:79 [compile index 1078] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_write_pkg' is not elaborated + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv:45 [compile index 1080] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:34 [compile index 1084] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:36 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:36 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:36 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:36 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:119 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:160 [compile index 1084] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:180 [compile index 1084] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:33 [compile index 1085] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:43 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:43 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:43 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:43 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:79 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:79 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:85 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:85 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:92 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:103 [compile index 1085] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:132 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:136 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:145 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:154 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:156 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:172 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:183 [compile index 1085] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:191 [compile index 1085] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:72 [compile index 1085] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:72 [compile index 1085] +*** Warning: ILLEGAL_FORMAT_SPEC: Illegal format specifier '%x' for argument 'PV_WRITE_REQUESTOR' when calling '$psprintf' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:163 [compile index 1085] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:35 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:77 [compile index 1086] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:84 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:85 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:85 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:93 [compile index 1086] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:97 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:99 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:99 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:101 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:103 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:106 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:109 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:110 [compile index 1086] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:114 [compile index 1086] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:33 [compile index 1089] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:43 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:43 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:43 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:43 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:75 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:107 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:108 [compile index 1089] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:117 [compile index 1089] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh:41 [compile index 1090] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh:41 [compile index 1090] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh:41 [compile index 1090] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh:66 [compile index 1090] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_random_sequence.svh:70 [compile index 1090] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_pcr_entry_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:37 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:37 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:37 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:67 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:68 [compile index 1091] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_write_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:72 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:72 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:72 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:72 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:72 [compile index 1091] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_write_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:79 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:79 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:79 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:79 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:79 [compile index 1091] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_write_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:85 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:85 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:85 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:85 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:85 [compile index 1091] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_write_pcr_entry_sequence' to argument 'parent_sequence' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:91 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'create_item' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:91 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:91 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:91 [compile index 1091] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:91 [compile index 1091] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:67 [compile index 1091] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'get' is not used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_pcr_entry_sequence.svh:68 [compile index 1091] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh:40 [compile index 1092] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh:40 [compile index 1092] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh:40 [compile index 1092] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh:58 [compile index 1092] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_responder_sequence.svh:59 [compile index 1092] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:31 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:67 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:75 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:76 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:76 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:80 [compile index 1094] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:80 [compile index 1094] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_write_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write2reg_adapter.svh:97 [compile index 1093] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_in_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv:31 [compile index 1095] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:22 [compile index 1099] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:24 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:24 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:24 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:24 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:105 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:148 [compile index 1099] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:169 [compile index 1099] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:21 [compile index 1100] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:35 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:35 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:35 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:35 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:75 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:75 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:81 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:81 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:88 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:99 [compile index 1100] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:128 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:132 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:143 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:154 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:156 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:172 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:183 [compile index 1100] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:191 [compile index 1100] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:68 [compile index 1100] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:68 [compile index 1100] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:23 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:75 [compile index 1101] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:82 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:83 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:83 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:91 [compile index 1101] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:95 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:97 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:97 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:99 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:101 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:104 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:107 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:108 [compile index 1101] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:112 [compile index 1101] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:21 [compile index 1104] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:35 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:35 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:35 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:35 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:73 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:105 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:106 [compile index 1104] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:115 [compile index 1104] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh:31 [compile index 1105] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh:31 [compile index 1105] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh:31 [compile index 1105] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh:60 [compile index 1105] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_random_sequence.svh:64 [compile index 1105] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh:30 [compile index 1106] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh:30 [compile index 1106] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh:30 [compile index 1106] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh:57 [compile index 1106] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_reset_sequence.svh:63 [compile index 1106] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh:30 [compile index 1107] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh:30 [compile index 1107] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh:30 [compile index 1107] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh:52 [compile index 1107] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_responder_sequence.svh:53 [compile index 1107] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:19 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:67 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:73 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:74 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:74 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:78 [compile index 1109] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:78 [compile index 1109] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_in_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in2reg_adapter.svh:85 [compile index 1108] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_out_pkg' is not elaborated + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv:31 [compile index 1110] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:23 [compile index 1114] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_transaction' for function of type 'uvm_object' (class types are not assignment compatible) + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:25 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:25 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:25 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:25 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:105 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_compare' is not a member of 'super' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:149 [compile index 1114] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'do_copy' is not a member of 'super' + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:170 [compile index 1114] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:22 [compile index 1115] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:38 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:38 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:38 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:38 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:80 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:80 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'active_passive' is not a member of 'this' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:86 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'this' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:86 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:93 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:104 [compile index 1115] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:133 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:137 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_AGENT_CONFIG' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:149 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:161 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:163 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'return_transaction_response' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:179 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:190 [compile index 1115] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitor_bfm' is not declared + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:198 [compile index 1115] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:73 [compile index 1115] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_initiator_responder_t' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:73 [compile index 1115] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:24 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:81 [compile index 1116] +*** Error: NON_EXISTING_TYPE: Non existing type 'CONFIG_T' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:88 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:89 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_struct' is not a member of 'cfg' (type 'CONFIG_T' is undeclared) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:89 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:97 [compile index 1116] +*** Error: NON_EXISTING_TYPE: Non existing type 'REQ' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:101 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:103 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:103 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:105 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:107 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:110 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bfm' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:113 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'to_initiator_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:114 [compile index 1116] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'from_responder_struct' is not a member of 'txn' (type 'REQ' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:118 [compile index 1116] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:22 [compile index 1119] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:38 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:38 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:38 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:38 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_response' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:79 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:111 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:112 [compile index 1119] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:121 [compile index 1119] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh:33 [compile index 1120] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh:33 [compile index 1120] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh:33 [compile index 1120] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh:64 [compile index 1120] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_random_sequence.svh:68 [compile index 1120] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_responder_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh:32 [compile index 1121] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh:32 [compile index 1121] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh:32 [compile index 1121] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_item' is not declared + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh:56 [compile index 1121] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'finish_item' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_responder_sequence.svh:57 [compile index 1121] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:20 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:74 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:80 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:81 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:81 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:85 [compile index 1123] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'this' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:85 [compile index 1123] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_out_transaction' for function of type 'uvm_sequence_item' (class types are not assignment compatible) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out2reg_adapter.svh:89 [compile index 1122] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg_uvm.sv:3 [compile index 1137] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_reg_model_top_pkg' is not elaborated + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/registers/kv_reg_model_top_pkg.sv:29 [compile index 1141] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_env_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv:36 [compile index 1143] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:33 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:33 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:33 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:33 [compile index 1146] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:80 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:82 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:96 [compile index 1146] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:110 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:132 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:172 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:176 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:179 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_rst_agent_config' + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:192 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:192 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_rst_agent_config' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:193 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_hmac_write_agent_config' + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:195 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:195 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_hmac_write_agent_config' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:196 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_sha512_write_agent_config' + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:198 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:198 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_sha512_write_agent_config' + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:199 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_ecc_write_agent_config' + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:201 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:201 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_ecc_write_agent_config' + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:202 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_doe_write_agent_config' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:204 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:204 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_doe_write_agent_config' + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:205 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_hmac_key_read_agent_config' + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:207 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:207 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_hmac_key_read_agent_config' + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:208 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_hmac_block_read_agent_config' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:210 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:210 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_hmac_block_read_agent_config' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:211 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_mldsa_key_read_agent_config' + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:213 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:213 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_mldsa_key_read_agent_config' + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:214 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_ecc_privkey_read_agent_config' + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:216 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:216 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_ecc_privkey_read_agent_config' + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:217 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'kv_ecc_seed_read_agent_config' + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:219 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:219 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'kv_ecc_seed_read_agent_config' + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:220 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_adaptation' is not declared + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:234 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:235 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:238 [compile index 1146] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:248 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:248 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:84 [compile index 1146] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_coverage' is not used + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:228 [compile index 1146] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_coverage' is not used + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:231 [compile index 1146] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:156 [compile index 1147] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:181 [compile index 1147] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 618 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:618 [compile index 1147] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:620 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'mvc_sequence_item_base' is undeclared) + at line 634 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:634 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'kv_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 636 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:636 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 640 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:640 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 641 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:641 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 647 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:647 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'kv_sb_ahb_ap_output_transaction' + at line 649 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:649 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 652 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:652 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 686 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:686 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 687 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:687 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 691 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:691 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 737 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:737 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'kv_sb_ahb_ap_output_transaction' + at line 739 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:739 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'kv_sb_ahb_ap_output_transaction' + at line 740 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:740 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 743 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:743 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'kv_sb_ahb_ap_output_transaction' + at line 745 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:745 [compile index 1147] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'kv_sb_ahb_ap_output_transaction' + at line 746 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:746 [compile index 1147] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:375 [compile index 1147] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 724 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:724 [compile index 1147] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 982 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:982 [compile index 1147] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 989 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_predictor.svh:989 [compile index 1147] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:185 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:268 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:282 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:296 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:310 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:328 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:329 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:330 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:355 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:356 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:357 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:382 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:383 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:384 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:409 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:410 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:411 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:433 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 450 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:450 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:451 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 452 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:452 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:473 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:487 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:488 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:489 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 510 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:510 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:524 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:525 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:526 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 547 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:547 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:561 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 562 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:562 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 563 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:563 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:584 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:598 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:599 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:600 [compile index 1149] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:621 [compile index 1149] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 623 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:623 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 628 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:628 [compile index 1149] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 643 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:643 [compile index 1149] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 645 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:645 [compile index 1149] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 646 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:646 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 652 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:652 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 655 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:655 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 656 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:656 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 656 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:656 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 656 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:656 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 657 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_scoreboard.svh:657 [compile index 1149] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:36 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequence_item_base' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:40 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:76 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:81 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:169 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:179 [compile index 1150] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:180 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'qvip_ahb_lite_slave_subenv' + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:181 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:181 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:182 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_rst_agent' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:183 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:183 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:184 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_hmac_write_agent' + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:185 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:185 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:186 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_sha512_write_agent' + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:187 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:187 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:188 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_ecc_write_agent' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:189 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:189 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:190 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_doe_write_agent' + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:191 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:191 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:192 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_hmac_key_read_agent' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:193 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:193 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:194 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_hmac_block_read_agent' + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:195 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:195 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:196 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_mldsa_key_read_agent' + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:197 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:197 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:198 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_ecc_privkey_read_agent' + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:199 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:199 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:200 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'kv_ecc_seed_read_agent' + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:201 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:201 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:202 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:203 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:204 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:205 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:208 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:209 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:210 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:211 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:212 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:214 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:215 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:216 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:217 [compile index 1150] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:218 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_predictor_t' (type 'ahb_reg_predictor' is undeclared) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:220 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'kv_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:224 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:225 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:225 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:226 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:241 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_rst_agent' + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:242 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_write_agent' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:244 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_sha512_write_agent' + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:245 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_write_agent' + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:246 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_doe_write_agent' + at line 247 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:247 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_key_read_agent' + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:249 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_block_read_agent' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:250 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_mldsa_key_read_agent' + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:251 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_privkey_read_agent' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:252 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_seed_read_agent' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:253 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:254 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_write_agent' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:270 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_sha512_write_agent' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:271 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_write_agent' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:272 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_doe_write_agent' + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:273 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_key_read_agent' + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:275 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_hmac_block_read_agent' + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:276 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_mldsa_key_read_agent' + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:277 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_privkey_read_agent' + at line 278 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:278 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'kv_ecc_seed_read_agent' + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:279 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:282 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:282 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:283 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:283 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:283 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:283 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:286 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:287 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_adapter_t' (type 'reg2ahb_adapter' is undeclared) + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:289 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'en_n_bits' is not a member of 'ahb_reg_adapter' + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:290 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:313 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:313 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:314 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:314 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:317 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'kv_hmac_write_agent' + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:319 [compile index 1150] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:320 [compile index 1150] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:116 [compile index 1150] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg2ahb_adapter' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:123 [compile index 1150] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_reg_predictor' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:133 [compile index 1150] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:158 [compile index 1150] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:31 [compile index 1151] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:34 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:34 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:34 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:104 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:165 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:165 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:172 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:172 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:179 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:179 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:186 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:186 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:190 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:191 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:192 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:193 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:199 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_rand_seq' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:200 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:200 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:201 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:202 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:202 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:203 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:204 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:204 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:205 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:206 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:206 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:207 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:208 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:208 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:210 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_hmac_key_read_agent_rand_seq' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:211 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:211 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:212 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_hmac_block_read_agent_rand_seq' + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:213 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:213 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:214 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_mldsa_key_read_agent_rand_seq' + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:215 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:215 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:216 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ecc_privkey_read_agent_rand_seq' + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:217 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:217 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:218 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ecc_seed_read_agent_rand_seq' + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:219 [compile index 1151] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:219 [compile index 1151] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:124 [compile index 1151] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:131 [compile index 1151] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:138 [compile index 1151] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:145 [compile index 1151] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:158 [compile index 1151] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_ahb_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:30 [compile index 1152] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:30 [compile index 1152] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:50 [compile index 1152] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:61 [compile index 1152] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:70 [compile index 1152] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_ahb_sequence.svh:60 [compile index 1152] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:33 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:33 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:93 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:94 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:94 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:100 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:103 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:103 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:110 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:111 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:111 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:117 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:120 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:120 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:127 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:130 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:130 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:136 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:137 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:137 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:144 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:147 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:147 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:153 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:154 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:154 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:159 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:160 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:160 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:165 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:166 [compile index 1153] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_sequence.svh:166 [compile index 1153] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:33 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:33 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:139 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:139 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:144 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:144 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:154 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:154 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:165 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:165 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:169 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:169 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:180 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:180 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:184 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:184 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:187 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:187 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:190 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:190 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_warm_rst_seq' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:193 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:193 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:203 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:204 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:205 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:206 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:207 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:208 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:209 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:210 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:211 [compile index 1154] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:212 [compile index 1154] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:103 [compile index 1154] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:110 [compile index 1154] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:117 [compile index 1154] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_rst_sequence.svh:124 [compile index 1154] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:34 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:34 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:149 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:149 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:161 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:161 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:171 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:171 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:187 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:187 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:196 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:196 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:209 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:209 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:220 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:220 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:229 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:229 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:242 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:242 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:253 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:253 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:262 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 262 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:262 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:274 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:274 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:284 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:284 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:293 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:293 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:301 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 302 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:302 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:303 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:304 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:305 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 306 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:306 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 307 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:307 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:308 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:309 [compile index 1155] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:310 [compile index 1155] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:108 [compile index 1155] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:115 [compile index 1155] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:122 [compile index 1155] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_cold_rst_sequence.svh:129 [compile index 1155] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_key_wr_rd_basic_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:30 [compile index 1156] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:30 [compile index 1156] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:48 [compile index 1156] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:51 [compile index 1156] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:52 [compile index 1156] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:52 [compile index 1156] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_key_wr_rd_basic_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:61 [compile index 1156] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_key_wr_rd_basic_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:69 [compile index 1156] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_key_wr_rd_basic_sequence.svh:60 [compile index 1156] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_lock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:34 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:34 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:97 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:102 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:103 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:103 [compile index 1157] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:111 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:122 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:122 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:128 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:128 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:134 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:134 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:140 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:140 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:154 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:154 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:159 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:159 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:164 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:164 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:169 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:169 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:174 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:174 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:185 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:186 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:186 [compile index 1157] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:195 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:199 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:200 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:201 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:202 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:209 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:210 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:211 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:212 [compile index 1157] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:223 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:227 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:228 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:229 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:230 [compile index 1157] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:238 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:241 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:242 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:243 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:244 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:250 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:251 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:252 [compile index 1157] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:253 [compile index 1157] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_sequence.svh:236 [compile index 1157] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_lock_warm_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:35 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:35 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:107 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:113 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:114 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:114 [compile index 1158] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_warm_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:123 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:134 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:134 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:140 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:140 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:146 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:146 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:152 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:152 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_warm_rst_seq' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:159 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:159 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:169 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:169 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:174 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:174 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:179 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:179 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:184 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:184 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:189 [compile index 1158] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_warm_rst_sequence.svh:189 [compile index 1158] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_lock_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:34 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:34 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:106 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:112 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:113 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:113 [compile index 1159] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_cold_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:122 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:133 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:133 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:139 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:139 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:145 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:145 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:151 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:151 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:158 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:158 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:168 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:168 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:173 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:173 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:178 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:178 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:183 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:183 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:188 [compile index 1159] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_cold_rst_sequence.svh:188 [compile index 1159] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_lock_core_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:35 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:35 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:107 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:113 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:114 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:114 [compile index 1160] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_lock_core_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:123 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_write_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:134 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:134 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:140 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:140 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_write_seq' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:146 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:146 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'doe_write_seq' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:152 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:152 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_core_rst_seq' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:159 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:159 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_key_read_seq' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:169 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:169 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'hmac_block_read_seq' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:174 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:174 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:179 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:179 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_privkey_read_seq' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:184 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:184 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ecc_seed_read_seq' + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:189 [compile index 1160] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_lock_core_rst_sequence.svh:189 [compile index 1160] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:34 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:34 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:121 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:133 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_on_seq' + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:138 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:138 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_off_seq' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:139 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:139 [compile index 1161] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:142 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:154 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:154 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:164 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:164 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:171 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:172 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:173 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:174 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:175 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:176 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:177 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:178 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:179 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:180 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_scan_on_seq' + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:185 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:185 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:190 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:191 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:192 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:193 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_scan_off_seq' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:196 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:196 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:202 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:203 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:204 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:205 [compile index 1161] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:209 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:212 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:213 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:214 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:215 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:221 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:222 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:223 [compile index 1161] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:224 [compile index 1161] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:124 [compile index 1161] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:127 [compile index 1161] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:131 [compile index 1161] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_sequence.svh:207 [compile index 1161] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_debug_on_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh:34 [compile index 1162] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh:34 [compile index 1162] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh:111 [compile index 1162] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_on_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh:114 [compile index 1162] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_on_sequence.svh:114 [compile index 1162] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_debug_off_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh:34 [compile index 1163] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh:34 [compile index 1163] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh:111 [compile index 1163] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_off_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh:114 [compile index 1163] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_debug_off_sequence.svh:114 [compile index 1163] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_scan_on_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh:34 [compile index 1164] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh:34 [compile index 1164] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_scan_on_seq' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh:105 [compile index 1164] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_on_sequence.svh:105 [compile index 1164] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_env_scan_off_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh:34 [compile index 1165] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh:34 [compile index 1165] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh:111 [compile index 1165] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_scan_off_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh:114 [compile index 1165] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_scan_off_sequence.svh:114 [compile index 1165] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_lock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:34 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:34 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:105 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:109 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:110 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:110 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:119 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:119 [compile index 1166] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:127 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:146 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:151 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:151 [compile index 1166] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:154 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:177 [compile index 1166] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:177 [compile index 1166] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:138 [compile index 1166] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_sequence.svh:143 [compile index 1166] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_lock_clear_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:34 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:34 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:117 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:121 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:122 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:122 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:131 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:131 [compile index 1167] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_lock_clear_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:139 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:156 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:161 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:161 [compile index 1167] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_lock_clear_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:164 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_warm_rst_seq' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:173 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:173 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_cold_rst_seq' + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:176 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:176 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:193 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:198 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:198 [compile index 1167] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_lock_clear_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:201 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_warm_rst_seq' + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:205 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:205 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:224 [compile index 1167] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:224 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:146 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:148 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:153 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:169 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:181 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:184 [compile index 1167] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_lock_clear_rst_sequence.svh:189 [compile index 1167] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_warm_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:35 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:35 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:110 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:114 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:115 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:115 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:132 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:137 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:137 [compile index 1168] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_warm_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:140 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:153 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:153 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_warm_rst_seq' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:159 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:159 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:161 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:168 [compile index 1168] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:168 [compile index 1168] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:122 [compile index 1168] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:124 [compile index 1168] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_warm_rst_sequence.svh:129 [compile index 1168] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:34 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:34 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:109 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:113 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:114 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:114 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:131 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:136 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:136 [compile index 1169] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_cold_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:139 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:149 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:149 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_cold_rst_seq' + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:155 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:155 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:157 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:164 [compile index 1169] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:164 [compile index 1169] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:121 [compile index 1169] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:123 [compile index 1169] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_cold_rst_sequence.svh:128 [compile index 1169] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_wr_rd_debug_core_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:35 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:35 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:111 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:115 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_poweron_seq' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:116 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:116 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:133 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_debug_seq' + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:138 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:138 [compile index 1170] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'kv_wr_rd_debug_core_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:141 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:151 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:151 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_core_rst_seq' + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:156 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:156 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_key_read_seq' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:163 [compile index 1170] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:163 [compile index 1170] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:123 [compile index 1170] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:125 [compile index 1170] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_wr_rd_debug_core_rst_sequence.svh:130 [compile index 1170] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg_uvm.sv:3 [compile index 1173] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_reg_model_top_pkg' is not elaborated + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_model_top_pkg.sv:29 [compile index 1177] +*** Warning: OVERRIDE_VIRTUAL_METHOD: Qualifier 'public' of method 'pv_reg_model_top.sample' does not match qualifier 'protected' of overridden 'uvm_reg_block.sample' + at line 319 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/registers/pv_reg_model_top_pkg.sv:319 [compile index 1177] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_env_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv:36 [compile index 1179] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:33 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:33 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:33 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:33 [compile index 1182] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:59 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:61 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:75 [compile index 1182] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:82 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:104 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:137 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:141 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:144 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'pv_rst_agent_config' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:157 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:157 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'pv_rst_agent_config' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:158 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'pv_sha512_write_agent_config' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:160 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:160 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'pv_sha512_write_agent_config' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:161 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'pv_sha512_block_read_agent_config' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:163 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:163 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'has_coverage' is not a member of 'pv_sha512_block_read_agent_config' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:164 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_adaptation' is not declared + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:173 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:174 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:177 [compile index 1182] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:187 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:187 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:63 [compile index 1182] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:109 [compile index 1183] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:121 [compile index 1183] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:244 [compile index 1183] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:246 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'mvc_sequence_item_base' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:259 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'pv_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:261 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 265 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:265 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 266 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:266 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:268 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'pv_sb_ahb_ap_output_transaction' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:270 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:273 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:274 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:287 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 'ahb_txn' (type 'ahb_master_burst_transfer' is undeclared) + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:297 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'pv_sb_ahb_ap_output_transaction' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:299 [compile index 1183] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 'pv_sb_ahb_ap_output_transaction' + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:300 [compile index 1183] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'predict' is not used + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_predictor.svh:289 [compile index 1183] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_access' is not used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_reg_predictor.svh:123 [compile index 1184] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'set_access' is not used + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_reg_predictor.svh:125 [compile index 1184] +*** Error: EXTEND_CLASS: Extending non existing class 'ahb_reg_predictor' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:24 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:38 [compile index 1185] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:42 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'AHB_WRITE' is not declared + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:49 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'map' is not declared + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:52 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'write' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:57 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'write' is not a member of 'super' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:59 [compile index 1185] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'write' is not a member of 'super' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:62 [compile index 1185] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:103 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:152 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:169 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:170 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:171 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:192 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:209 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_key' is not a member of 't' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:210 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:211 [compile index 1186] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:229 [compile index 1186] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:231 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:236 [compile index 1186] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:246 [compile index 1186] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:248 [compile index 1186] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:249 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:255 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'compare' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:259 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:260 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:260 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:260 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_scoreboard.svh:261 [compile index 1186] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:36 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequence_item_base' is not declared + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:40 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:55 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:60 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:141 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:151 [compile index 1187] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:152 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'qvip_ahb_lite_slave_subenv' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:153 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:153 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:154 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'pv_rst_agent' + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:155 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:155 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:156 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'pv_sha512_write_agent' + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:157 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:157 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:158 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'pv_sha512_block_read_agent' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:159 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:159 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:160 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:161 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:162 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:163 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:166 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:167 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:168 [compile index 1187] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:169 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'pv_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:173 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:174 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:174 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:175 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:190 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_rst_agent' + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:191 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_write_agent' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:193 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_block_read_agent' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:194 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_write_agent' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:196 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_block_read_agent' + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:197 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:203 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:206 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:206 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:207 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:207 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:207 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:207 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:210 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:211 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_adapter_t' (type 'reg2ahb_adapter' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:213 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'en_n_bits' is not a member of 'ahb_reg_adapter' + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:214 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:228 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:228 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:229 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:229 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:231 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'pv_sha512_write_agent' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:234 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:235 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'pv_sha512_write_agent' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:235 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'pv_sha512_block_read_agent' + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:237 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:238 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'sequencer' is not a member of 'pv_sha512_block_read_agent' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:238 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:242 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:243 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:246 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:249 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:267 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_write_agent' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:269 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'pv_sha512_block_read_agent' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:271 [compile index 1187] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:288 [compile index 1187] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:87 [compile index 1187] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:91 [compile index 1187] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg2ahb_adapter' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:98 [compile index 1187] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_reg_predictor' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:108 [compile index 1187] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:31 [compile index 1188] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:34 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:34 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:34 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:74 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:84 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_rand_seq' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:85 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:85 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:86 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_sha512_write_agent_rand_seq' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:87 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:87 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:88 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_sha512_block_read_agent_rand_seq' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:89 [compile index 1188] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:89 [compile index 1188] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_pcr_wr_rd_basic_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:30 [compile index 1189] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:30 [compile index 1189] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:47 [compile index 1189] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:50 [compile index 1189] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:51 [compile index 1189] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:51 [compile index 1189] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_pcr_wr_rd_basic_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:59 [compile index 1189] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_pcr_wr_rd_basic_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:67 [compile index 1189] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_pcr_wr_rd_basic_sequence.svh:58 [compile index 1189] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:33 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:33 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:90 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:90 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:100 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:100 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_cold_rst_seq' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:109 [compile index 1190] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:109 [compile index 1190] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_cold_rst_sequence.svh:81 [compile index 1190] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_lock_cold_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:33 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:33 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:79 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:85 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:86 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:86 [compile index 1191] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_lock_cold_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:95 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:103 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:103 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_cold_rst_seq' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:108 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:108 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:115 [compile index 1191] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_cold_rst_sequence.svh:115 [compile index 1191] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_lock_warm_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:33 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:33 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:79 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:85 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:86 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:86 [compile index 1192] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_lock_warm_rst_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:95 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:103 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:103 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_warm_rst_seq' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:108 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:108 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:115 [compile index 1192] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_warm_rst_sequence.svh:115 [compile index 1192] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_lock_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:33 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:33 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:68 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:73 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:74 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:74 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:83 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:83 [compile index 1193] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:92 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:101 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:101 [compile index 1193] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_lock_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:110 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:119 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:119 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:129 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:129 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:139 [compile index 1193] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:139 [compile index 1193] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_lock_sequence.svh:70 [compile index 1193] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_ahb_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:33 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:33 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:68 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:73 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:74 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:74 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:84 [compile index 1194] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:84 [compile index 1194] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:92 [compile index 1194] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:100 [compile index 1194] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:108 [compile index 1194] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'pv_wr_rd_ahb_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:114 [compile index 1194] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:70 [compile index 1194] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:91 [compile index 1194] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_ahb_sequence.svh:107 [compile index 1194] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_rst_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:33 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:33 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:93 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:93 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:98 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:98 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_warm_rst_seq' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:102 [compile index 1195] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:102 [compile index 1195] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_rst_sequence.svh:81 [compile index 1195] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_wr_rd_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:33 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:33 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:73 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_poweron_seq' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:74 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:74 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:83 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:83 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:89 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_write_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:94 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:94 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:102 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'sha512_block_read_seq' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:107 [compile index 1196] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:107 [compile index 1196] +*** Warning: UNUSED_RETURN_VALUE: The return value of function 'randomize' is not used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_wr_rd_sequence.svh:70 [compile index 1196] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:362 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:363 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:364 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:365 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_fld_cg' + at line 366 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:366 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:391 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:392 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:393 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:394 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_read_ctrl_reg_fld_cg' is undeclared) + at line 397 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:397 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:407 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:408 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:409 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_fld_cg' + at line 410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:410 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:432 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:433 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:434 [compile index 1197] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_status_reg_fld_cg' is undeclared) + at line 437 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:437 [compile index 1197] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:3 [compile index 1197] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:13 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:14 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:30 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:33 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:43 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:44 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:60 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:63 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_CTRL_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:73 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_CTRL_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:74 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_CTRL_fld_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:75 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_CTRL_bit_cg' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:94 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_CTRL_bit_cg' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:95 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_CTRL_fld_cg' is undeclared) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:98 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_STATUS_bit_cg' + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:108 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_STATUS_bit_cg' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:109 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_STATUS_fld_cg' + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:110 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_STATUS_bit_cg' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:129 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_STATUS_bit_cg' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:130 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_STATUS_fld_cg' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:133 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_ENTROPY_bit_cg' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:143 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_ENTROPY_fld_cg' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:144 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_ENTROPY_bit_cg' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:160 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_ENTROPY_fld_cg' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:163 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_SEED_bit_cg' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:173 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_SEED_fld_cg' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:174 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_SEED_bit_cg' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:190 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_SEED_fld_cg' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:193 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_SIGN_RND_bit_cg' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:203 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_SIGN_RND_fld_cg' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:204 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_SIGN_RND_bit_cg' + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:220 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_SIGN_RND_fld_cg' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:223 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_MSG_bit_cg' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:233 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_MSG_fld_cg' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:234 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_MSG_bit_cg' + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:250 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_MSG_fld_cg' is undeclared) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:253 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_VERIFY_RES_bit_cg' + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:263 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__MLDSA_VERIFY_RES_fld_cg' + at line 264 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:264 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__MLDSA_VERIFY_RES_bit_cg' + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:280 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__MLDSA_VERIFY_RES_fld_cg' is undeclared) + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:283 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:357 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:358 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_en_t_fld_cg' + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:359 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:378 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:379 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__global_intr_en_t_fld_cg' is undeclared) + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:382 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:392 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_en_t_fld_cg' + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:393 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:409 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__error_intr_en_t_fld_cg' is undeclared) + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:412 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_en_t_bit_cg' + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:422 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_en_t_fld_cg' + at line 423 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:423 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__notif_intr_en_t_bit_cg' + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:439 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__notif_intr_en_t_fld_cg' is undeclared) + at line 442 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:442 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 452 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:452 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_dd3dcf0a_fld_cg' + at line 453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:453 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:469 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_dd3dcf0a_fld_cg' is undeclared) + at line 472 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:472 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:482 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_e6399b4a_fld_cg' + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:483 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 499 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:499 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__global_intr_t_agg_sts_e6399b4a_fld_cg' is undeclared) + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:502 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02_bit_cg' + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:512 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02_fld_cg' + at line 513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:513 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02_bit_cg' + at line 529 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:529 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__error_intr_t_error_internal_sts_83adab02_fld_cg' is undeclared) + at line 532 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:532 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 542 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:542 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:543 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 559 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:559 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' is undeclared) + at line 562 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:562 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_trig_t_bit_cg' + at line 572 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:572 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__error_intr_trig_t_fld_cg' + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:573 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__error_intr_trig_t_bit_cg' + at line 589 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:589 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__error_intr_trig_t_fld_cg' is undeclared) + at line 592 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:592 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_trig_t_bit_cg' + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:602 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__notif_intr_trig_t_fld_cg' + at line 603 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:603 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__notif_intr_trig_t_bit_cg' + at line 619 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:619 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__notif_intr_trig_t_fld_cg' is undeclared) + at line 622 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:622 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_t_cnt_60ddff93_bit_cg' + at line 632 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:632 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_t_cnt_60ddff93_fld_cg' + at line 633 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:633 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__intr_count_t_cnt_60ddff93_bit_cg' + at line 649 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:649 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__intr_count_t_cnt_60ddff93_fld_cg' is undeclared) + at line 652 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:652 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_t_cnt_be67d6d5_bit_cg' + at line 662 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:662 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_t_cnt_be67d6d5_fld_cg' + at line 663 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:663 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__intr_count_t_cnt_be67d6d5_bit_cg' + at line 679 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:679 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__intr_count_t_cnt_be67d6d5_fld_cg' is undeclared) + at line 682 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:682 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_15e6ed7e_bit_cg' + at line 692 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:692 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_15e6ed7e_fld_cg' + at line 693 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:693 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_15e6ed7e_bit_cg' + at line 709 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:709 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_15e6ed7e_fld_cg' is undeclared) + at line 712 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:712 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_6173128e_bit_cg' + at line 722 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:722 [compile index 1201] +*** Error: NON_EXISTING_TYPE: Non existing type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_6173128e_fld_cg' + at line 723 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:723 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_6173128e_bit_cg' + at line 739 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:739 [compile index 1201] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'mldsa_reg__intr_block_t__intr_count_incr_t_pulse_6173128e_fld_cg' is undeclared) + at line 742 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:742 [compile index 1201] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:3 [compile index 1201] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_reg_model_top_pkg' is not elaborated + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_model_top_pkg.sv:15 [compile index 1205] +*** Warning: OVERRIDE_VIRTUAL_METHOD: Qualifier 'public' of method 'mldsa_reg_model_top.sample' does not match qualifier 'protected' of overridden 'uvm_reg_block.sample' + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_model_top_pkg.sv:175 [compile index 1205] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_env_pkg' is not elaborated + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv:22 [compile index 1207] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mldsa_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:19 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:19 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:19 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:19 [compile index 1210] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:36 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:38 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:52 [compile index 1210] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_env_configuration' not allowed in package + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:53 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:75 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:106 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:110 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:113 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_adaptation' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:149 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:150 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'enable_reg_prediction' is not declared + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:153 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:162 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:163 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'agent_cfg' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:164 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:167 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:167 [compile index 1210] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_monitor_item' is not a member of 'ahb_lite_slave_0_cfg' (type 'ahb_lite_slave_0_cfg_t' is undeclared) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:174 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:174 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:40 [compile index 1210] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:58 [compile index 1211] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:65 [compile index 1211] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:138 [compile index 1211] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:147 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'mldsa_sb_ahb_ap_output_transaction_t' (type 'ahb_master_burst_transfer' is undeclared) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:160 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:163 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:219 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:229 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:231 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:240 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:246 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:251 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:257 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:263 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:267 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:268 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:279 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:281 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:291 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 302 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:302 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:313 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 325 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:325 [compile index 1211] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'copy' is not a member of 'mldsa_sb_ahb_ap_output_transaction' + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:375 [compile index 1211] +*** Error: METHOD_CALL_ARGUMENTS: Method call 'substr' is missing argument value to input 'j' + at line 683 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_predictor.svh:683 [compile index 1211] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:62 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:69 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:77 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:130 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:132 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:133 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:141 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:144 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:145 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:146 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:147 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:148 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:149 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:150 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:151 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:152 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:153 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:154 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:155 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:156 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:157 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:159 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:161 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:162 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:167 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:167 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:169 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:169 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:184 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:184 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:186 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:186 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'data' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'resp' is not a member of 't_exp' (type 'ahb_master_burst_transfer' is undeclared) + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:192 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'convert2string' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:197 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequence_item_base' + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:211 [compile index 1212] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:213 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RnW' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:219 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:222 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:223 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:224 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:225 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:226 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:227 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:228 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:229 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:230 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:231 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:232 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:233 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:234 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:235 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:237 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:239 [compile index 1212] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'address' is not a member of 't' (type 'ahb_master_burst_transfer' is undeclared) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_scoreboard.svh:240 [compile index 1212] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:22 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequence_item_base' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:23 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:29 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:34 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:80 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:90 [compile index 1213] +*** Error: ILLEGAL_CUNIT_REFERENCE: Reference to compilation unit identifier 'qvip_ahb_lite_slave_environment' not allowed in package + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:91 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'qvip_ahb_lite_slave_subenv' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:92 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:92 [compile index 1213] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'mldsa_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:94 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:95 [compile index 1213] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'mldsa_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:97 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:98 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:100 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_predictor_t' (type 'ahb_reg_predictor' is undeclared) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:101 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'mldsa_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:105 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:106 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:106 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:107 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:121 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ap' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:122 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:132 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:132 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:133 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:133 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:133 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:133 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:140 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:141 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_reg_adapter_t' (type 'reg2ahb_adapter' is undeclared) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:142 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'en_n_bits' is not a member of 'ahb_reg_adapter' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:143 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:147 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:147 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:148 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' (type 'ahb_lite_slave_0_agent_t' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:148 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:150 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'map' is not a member of 'ahb_reg_predictor' + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:151 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:151 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'adapter' is not a member of 'ahb_reg_predictor' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:152 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'bus_item_export' is not a member of 'ahb_reg_predictor' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:153 [compile index 1213] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:170 [compile index 1213] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_master_burst_transfer' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:39 [compile index 1213] +*** Error: NON_EXISTING_TYPE: Non existing type 'reg2ahb_adapter' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:46 [compile index 1213] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_reg_predictor' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:57 [compile index 1213] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:69 [compile index 1213] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:17 [compile index 1214] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mldsa_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:20 [compile index 1214] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:20 [compile index 1214] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:20 [compile index 1214] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:49 [compile index 1214] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_env_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/ECC_env_pkg.sv:21 [compile index 1215] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:41 [compile index 1218] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:19 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:19 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:19 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:19 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:53 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:80 [compile index 1218] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:112 [compile index 1218] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:116 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:119 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'ECC_in_agent_config' + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:125 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:125 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'ECC_out_agent_config' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:128 [compile index 1218] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:128 [compile index 1218] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:160 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:161 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:162 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:163 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:164 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:165 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:166 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:167 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:168 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:169 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:170 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:171 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:172 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:173 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:174 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:175 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:176 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:177 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:178 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:179 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:180 [compile index 1219] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_predictor.svh:181 [compile index 1219] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_in_order_scoreboard' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:40 [compile index 1220] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:45 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:35 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:56 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:66 [compile index 1220] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ECC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:67 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'ECC_in_agent' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:68 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:68 [compile index 1220] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ECC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:69 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'ECC_out_agent' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:70 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:70 [compile index 1220] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ECC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:71 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:72 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ECC_sb_t' (type 'uvmf_in_order_scoreboard' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:73 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ECC_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:75 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:76 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:76 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:77 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:92 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'ECC_in_agent' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:93 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'expected_analysis_export' is not a member of 'ECC_sb' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:94 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'ECC_out_agent' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:95 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'actual_analysis_export' is not a member of 'ECC_sb' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:95 [compile index 1220] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:112 [compile index 1220] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:17 [compile index 1221] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:20 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:20 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:20 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:51 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:59 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ECC_in_agent_rand_seq' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:60 [compile index 1221] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:60 [compile index 1221] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_env_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/HMAC_env_pkg.sv:21 [compile index 1223] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:41 [compile index 1226] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:19 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:19 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:19 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:19 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:53 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:80 [compile index 1226] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:112 [compile index 1226] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:116 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:119 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'HMAC_in_agent_config' + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:125 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:125 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'HMAC_out_agent_config' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:128 [compile index 1226] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:128 [compile index 1226] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:128 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:129 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:132 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:133 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:153 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:154 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:157 [compile index 1227] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_predictor.svh:158 [compile index 1227] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_in_order_scoreboard' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:40 [compile index 1228] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:45 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:35 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:56 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:66 [compile index 1228] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'HMAC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:67 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'HMAC_in_agent' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:68 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:68 [compile index 1228] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'HMAC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:69 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'HMAC_out_agent' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:70 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:70 [compile index 1228] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'HMAC_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:71 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:72 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'HMAC_sb_t' (type 'uvmf_in_order_scoreboard' is undeclared) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:73 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'HMAC_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:75 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:76 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:76 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:77 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:92 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'HMAC_in_agent' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:93 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'expected_analysis_export' is not a member of 'HMAC_sb' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:94 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'HMAC_out_agent' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:95 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'actual_analysis_export' is not a member of 'HMAC_sb' + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:95 [compile index 1228] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:112 [compile index 1228] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:17 [compile index 1229] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:20 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:20 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:20 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:51 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:59 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_agent_rand_seq' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:60 [compile index 1229] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:60 [compile index 1229] +*** Warning: UNELABORATED_PACKAGE: Package 'work.caliptra_top_env_pkg' is not elaborated + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv:34 [compile index 1231] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:33 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:33 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:33 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:33 [compile index 1234] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:51 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:66 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:92 [compile index 1234] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:123 [compile index 1234] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:127 [compile index 1234] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:130 [compile index 1234] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:54 [compile index 1234] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_virtual_sequencer_base' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:49 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:65 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:75 [compile index 1235] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'caliptra_top_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:76 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'soc_ifc_subenv' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:77 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:77 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'caliptra_top_vsqr_t' (type 'uvmf_virtual_sequencer_base' is undeclared) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:80 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'vsqr' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:81 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:81 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:82 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:97 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:114 [compile index 1235] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not a member of 'this' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:124 [compile index 1235] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:31 [compile index 1236] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:34 [compile index 1236] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:34 [compile index 1236] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:34 [compile index 1236] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:67 [compile index 1236] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_seq' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:76 [compile index 1236] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:76 [compile index 1236] +*** Warning: UNELABORATED_PACKAGE: Package 'work.caliptra_top_sequences_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv:36 [compile index 1237] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:37 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:37 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:37 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:37 [compile index 1239] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:73 [compile index 1239] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:74 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:91 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:95 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:101 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:103 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:105 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:107 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:109 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:118 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:118 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:147 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:148 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_ctrl_agent_random_seq' + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:152 [compile index 1239] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'caliptra_top_env_seq' + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:155 [compile index 1239] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'register_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:19 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:26 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_in_agent_config' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:38 [compile index 1240] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_out_agent_config' is not declared + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:39 [compile index 1240] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'example_derived_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:20 [compile index 1241] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:23 [compile index 1241] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_rand_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:32 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:32 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:32 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'fmc_seq' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:204 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'rt_seq' + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:207 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 257 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:257 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:258 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:285 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_early_mbox_seq' + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:293 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:321 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:332 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:343 [compile index 1242] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_sequence_base' + at line 436 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rand_sequence.svh:436 [compile index 1242] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_cmdline_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:30 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:30 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:30 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'fmc_seq' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:58 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'rt_seq' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:61 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:98 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:99 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:109 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not a member of 'this' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:133 [compile index 1243] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_sequence_base' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_cmdline_sequence.svh:144 [compile index 1243] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_rom_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:30 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:30 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:30 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'rom_seq' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:58 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:83 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:84 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:94 [compile index 1244] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_trng_write_data_seq' + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_rom_sequence.svh:106 [compile index 1244] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_wdt_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:32 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:32 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:32 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'fmc_seq' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:68 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'rt_seq' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:71 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:121 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:122 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:134 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_wdt_cascade_seq' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:140 [compile index 1245] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_reset_warm_seq' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_sequence.svh:159 [compile index 1245] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'caliptra_top_wdt_independent_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:32 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:32 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:32 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'fmc_seq' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:68 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'rt_seq' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:71 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_soc_ifc_status_agent_responder_seq' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:121 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_subenv_mbox_sram_agent_responder_seq' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:122 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:134 [compile index 1246] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_wdt_indep_seq' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_wdt_independent_sequence.svh:140 [compile index 1246] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_parameters_pkg' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/parameters/soc_ifc_parameters_pkg.sv:30 [compile index 1247] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_sequences_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv:36 [compile index 1248] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:37 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:37 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:37 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:37 [compile index 1250] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:76 [compile index 1250] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:77 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:97 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:101 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:107 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:109 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:111 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:113 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:115 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:126 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:126 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:128 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:128 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_status_agent_responder_seq' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:161 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_status_agent_responder_seq' + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:162 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mbox_sram_agent_responder_seq' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:163 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_ctrl_agent_random_seq' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:167 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_ctrl_agent_random_seq' + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:168 [compile index 1250] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_seq' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:171 [compile index 1250] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_rand_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:33 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:33 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:33 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_status_agent_responder_seq' + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:197 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_status_agent_responder_seq' + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:198 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mbox_sram_agent_responder_seq' + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:199 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:223 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:227 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:239 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_init_interrupts_seq' + at line 248 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:248 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_sequence_base' + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:328 [compile index 1253] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_init_interrupts_seq' + at line 339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_rand_test_sequence.svh:339 [compile index 1253] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_cmdline_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:35 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:35 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:35 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_status_agent_responder_seq' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:73 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_status_agent_responder_seq' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:74 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mbox_sram_agent_responder_seq' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:75 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:84 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:88 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_init_interrupts_seq' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:96 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'get_full_name' is not a member of 'this' + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:107 [compile index 1254] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_seq' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_cmdline_test_sequence.svh:119 [compile index 1254] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'soc_ifc_trng_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:33 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:33 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:33 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_status_agent_responder_seq' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:100 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'cptra_status_agent_responder_seq' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:101 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mbox_sram_agent_responder_seq' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:102 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_bringup_seq' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:111 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_cptra_rst_wait_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:115 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_pauser_init_seq' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:123 [compile index 1255] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'soc_ifc_env_sequence_base' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_trng_test_sequence.svh:143 [compile index 1255] +*** Warning: UNELABORATED_PACKAGE: Package 'work.qvip_ahb_lite_slave_test_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:16 [compile index 1256] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_vseq_base.svh:11 [compile index 269] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_sequencer' is not a member of 'ahb_lite_slave_0' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:46 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'ahb_lite_slave_0_cfg_t' (type 'ahb_vip_config' is undeclared) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:56 [compile index 270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'm_bfm' is not a member of 'ahb_lite_slave_0_cfg' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_test_base.svh:57 [compile index 270] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_single_wr_deparam_seq' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:23 [compile index 271] +*** Error: NON_EXISTING_TYPE: Non existing type 'ahb_single_rd_deparam_seq' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:24 [compile index 271] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ahb_lite_slave_0_seq_0' (type 'ahb_single_wr_deparam_seq' is undeclared) + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:29 [compile index 271] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ahb_lite_slave_0_seq_1' (type 'ahb_single_rd_deparam_seq' is undeclared) + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_example_vseq.svh:30 [compile index 271] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_parameters_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/parameters/mldsa_parameters_pkg.sv:16 [compile index 1261] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_sequences_pkg' is not elaborated + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv:22 [compile index 1262] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'mldsa_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:23 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:23 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:23 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:23 [compile index 1264] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:42 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:63 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:67 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:77 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:77 [compile index 1264] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'mldsa_env_seq' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:112 [compile index 1264] +*** Error: METHOD_CALL_ARGUMENTS: Method call 'substr' is missing argument value to input 'j' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:139 [compile index 1264] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_key_gen_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:20 [compile index 1267] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:20 [compile index 1267] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:20 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:50 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:66 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:76 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:84 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:95 [compile index 1267] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_sequence.svh:105 [compile index 1267] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_key_gen_and_sign_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:20 [compile index 1268] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:20 [compile index 1268] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:20 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:90 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:101 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:116 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:127 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:136 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:144 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:155 [compile index 1268] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_key_gen_and_sign_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_key_gen_and_sign_sequence.svh:165 [compile index 1268] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_early_run_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:20 [compile index 1269] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:20 [compile index 1269] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:20 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:92 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:103 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:118 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:129 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:138 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:150 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:161 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:174 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:190 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:199 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:210 [compile index 1269] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_early_run_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_early_run_sequence.svh:220 [compile index 1269] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_zeroize_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:20 [compile index 1270] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:20 [compile index 1270] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:20 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:92 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:103 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:118 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:129 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:138 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:149 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:164 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:175 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:185 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:235 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:246 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:261 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:272 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:281 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:289 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:300 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:310 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:338 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 349 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:349 [compile index 1270] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_zeroize_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_zeroize_sequence.svh:359 [compile index 1270] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_sign_gen_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:20 [compile index 1271] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:20 [compile index 1271] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:20 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:51 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:66 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:77 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:122 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:134 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:142 [compile index 1271] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_sign_gen_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_sign_gen_sequence.svh:153 [compile index 1271] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_verif_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:20 [compile index 1272] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:20 [compile index 1272] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:20 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:51 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:98 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:147 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:157 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:170 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:178 [compile index 1272] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_sequence.svh:189 [compile index 1272] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_verif_fail_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:20 [compile index 1273] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:20 [compile index 1273] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:20 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:82 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:129 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:196 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:209 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:219 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 232 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:232 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:240 [compile index 1273] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_verif_fail_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_verif_fail_sequence.svh:251 [compile index 1273] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:20 [compile index 1274] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:20 [compile index 1274] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:20 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:102 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:149 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:198 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:208 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:221 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:229 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:240 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 249 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:249 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:275 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:291 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:301 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:309 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:320 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:330 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:338 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:405 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:416 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:431 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 442 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:442 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:451 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:459 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:470 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:480 [compile index 1274] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_reset_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_reset_sequence.svh:489 [compile index 1274] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_randomized_all_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:20 [compile index 1275] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:20 [compile index 1275] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:20 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:80 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:127 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:176 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:186 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:199 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:207 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:218 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:227 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:253 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:269 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:279 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:287 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:298 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:308 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:316 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:383 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:394 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:409 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 420 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:420 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:429 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 437 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:437 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:448 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:458 [compile index 1275] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_randomized_all_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 467 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_randomized_all_sequence.svh:467 [compile index 1275] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_keygen_KATs_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:26 [compile index 1276] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:26 [compile index 1276] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:26 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:78 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:88 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:98 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:109 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:125 [compile index 1276] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_KATs_sequence.svh:139 [compile index 1276] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_keygen_signing_KATs_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:27 [compile index 1277] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:27 [compile index 1277] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:27 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:85 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:95 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:106 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:116 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:125 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:136 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:154 [compile index 1277] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_keygen_signing_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_keygen_signing_KATs_sequence.svh:169 [compile index 1277] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ML_DSA_verif_KATs_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:27 [compile index 1278] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:27 [compile index 1278] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:27 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:89 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:99 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:109 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:118 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:127 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:138 [compile index 1278] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'ML_DSA_verif_KATs_sequence' to argument 'parent' of type 'uvm_sequence_base' (class types are not assignment compatible) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/ML_DSA_verif_KATs_sequence.svh:154 [compile index 1278] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_env_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/SHA512_env_pkg.sv:21 [compile index 1279] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_env_configuration' for function of type 'uvm_object' (class types are not assignment compatible) + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:19 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:19 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:19 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:19 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:50 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'post_randomize' is not a member of 'super' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:70 [compile index 1282] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_sim_level_t' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:102 [compile index 1282] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:106 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initialize' is not a member of 'super' + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:109 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'SHA512_in_agent_config' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:115 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'INITIATOR' is not declared + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:115 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'initiator_responder' is not a member of 'SHA512_out_agent_config' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:118 [compile index 1282] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'RESPONDER' is not declared + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:118 [compile index 1282] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$fgets' is not used + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_predictor.svh:183 [compile index 1283] +*** Warning: UNUSED_RETURN_VALUE: The return value of function '$sscanf' is not used + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_predictor.svh:187 [compile index 1283] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_in_order_scoreboard' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:40 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'CONFIG_T' is not declared + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:35 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:52 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:60 [compile index 1284] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'SHA512_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:61 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'SHA512_in_agent' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:62 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:62 [compile index 1284] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'SHA512_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:63 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_config' is not a member of 'SHA512_out_agent' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:64 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:64 [compile index 1284] +*** Error: ILLEGAL_ASSIGNMENT: Illegal assignment of 'SHA512_environment' to argument 'parent' of type 'uvm_component' (class types are not assignment compatible) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:65 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:66 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'type_id' is not a member of 'SHA512_sb_t' (type 'uvmf_in_order_scoreboard' is undeclared) + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:67 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'connect_phase' is not a member of 'super' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:79 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'SHA512_in_agent' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:80 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'expected_analysis_export' is not a member of 'SHA512_sb' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:81 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'monitored_ap' is not a member of 'SHA512_out_agent' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:82 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'actual_analysis_export' is not a member of 'SHA512_sb' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:82 [compile index 1284] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:99 [compile index 1284] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_env_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:17 [compile index 1285] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:17 [compile index 1285] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:17 [compile index 1285] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:17 [compile index 1285] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:24 [compile index 1285] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_parameters_pkg' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/parameters/kv_parameters_pkg.sv:30 [compile index 1287] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_sequences_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv:36 [compile index 1288] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:37 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:37 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:37 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:37 [compile index 1290] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:96 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:121 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:125 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:131 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:133 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:135 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:137 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:139 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:141 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:143 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:145 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:147 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:149 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:165 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:165 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_agent_random_seq' + at line 212 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:212 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_hmac_write_agent_random_seq' + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:213 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_sha512_write_agent_random_seq' + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:214 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ecc_write_agent_random_seq' + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:215 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_doe_write_agent_random_seq' + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:216 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_hmac_key_read_agent_random_seq' + at line 217 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:217 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_hmac_block_read_agent_random_seq' + at line 218 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:218 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_mldsa_key_read_agent_random_seq' + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:219 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ecc_privkey_read_agent_random_seq' + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:220 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ecc_seed_read_agent_random_seq' + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:221 [compile index 1290] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_env_seq' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:224 [compile index 1290] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rand_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh:33 [compile index 1293] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh:33 [compile index 1293] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh:33 [compile index 1293] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_seq' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_test_sequence.svh:115 [compile index 1293] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rand_wr_rd_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:36 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:36 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:36 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_key_wr_rd_basic_seq' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:128 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_seq' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:130 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_rst_seq' + at line 132 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:132 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_cold_rst_seq' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:134 [compile index 1294] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ahb_seq' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_wr_rd_test_sequence.svh:136 [compile index 1294] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rand_debug_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:36 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:36 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:36 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_rst_poweron_seq' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:158 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_env_debug_on_seq' + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:162 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_env_debug_off_seq' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:164 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_ahb_seq' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:166 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_seq' + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:169 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_env_scan_on_seq' + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:171 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_lock_seq' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:174 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_warm_rst_seq' + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:176 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_cold_rst_seq' + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:178 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_core_rst_seq' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:180 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_debug_lock_clear_rst_seq' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:183 [compile index 1295] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_env_scan_off_seq' + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_debug_test_sequence.svh:185 [compile index 1295] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'kv_rand_lock_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:36 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:36 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:36 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_lock_seq' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:118 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_lock_warm_rst_seq' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:120 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_lock_cold_rst_seq' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:122 [compile index 1296] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'kv_wr_rd_lock_core_rst_seq' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_rand_lock_test_sequence.svh:124 [compile index 1296] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_parameters_pkg' is not elaborated + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/parameters/pv_parameters_pkg.sv:30 [compile index 1297] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_sequences_pkg' is not elaborated + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv:36 [compile index 1298] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:37 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:37 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:37 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:37 [compile index 1300] +*** Error: NON_EXISTING_TYPE: Non existing type 'mvc_sequencer' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:68 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:86 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:90 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:96 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:98 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:100 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'mvc_sequencer' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:109 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_SEQUENCERS' is not declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:109 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_rst_agent_random_seq' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:141 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_sha512_write_agent_random_seq' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:142 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_sha512_block_read_agent_random_seq' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:143 [compile index 1300] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_env_seq' + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:146 [compile index 1300] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rand_lock_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:33 [compile index 1303] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:33 [compile index 1303] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:33 [compile index 1303] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_lock_seq' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:90 [compile index 1303] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_lock_warm_rst_seq' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:92 [compile index 1303] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_lock_cold_rst_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_lock_test_sequence.svh:94 [compile index 1303] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rand_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh:33 [compile index 1304] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh:33 [compile index 1304] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh:33 [compile index 1304] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_seq' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_test_sequence.svh:88 [compile index 1304] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'pv_rand_wr_rd_test_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:33 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:33 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:33 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_pcr_wr_rd_basic_seq' + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:94 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_seq' + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:96 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_rst_seq' + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:98 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_cold_rst_seq' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:100 [compile index 1305] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'pv_wr_rd_ahb_seq' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_rand_wr_rd_test_sequence.svh:102 [compile index 1305] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_parameters_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/parameters/ECC_parameters_pkg.sv:16 [compile index 1308] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_sequences_pkg' is not elaborated + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/ECC_sequences_pkg.sv:22 [compile index 1309] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:23 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:23 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:23 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:23 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:58 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:62 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:68 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:70 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ECC_in_agent_random_seq' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:101 [compile index 1311] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ECC_env_seq' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:104 [compile index 1311] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_normal_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh:22 [compile index 1314] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh:22 [compile index 1314] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh:22 [compile index 1314] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ECC_in_normal_s' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_normal_sequence.svh:43 [compile index 1314] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'ECC_otf_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh:22 [compile index 1316] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh:22 [compile index 1316] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh:22 [compile index 1316] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'ECC_in_otf_reset_s' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_otf_reset_sequence.svh:43 [compile index 1316] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_parameters_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/parameters/SHA512_parameters_pkg.sv:16 [compile index 1318] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_sequences_pkg' is not elaborated + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/SHA512_sequences_pkg.sv:22 [compile index 1319] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:20 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:20 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:20 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:20 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:47 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:51 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:57 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:59 [compile index 1321] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'SHA512_in_agent_random_seq' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:87 [compile index 1321] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'SHA512_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:37 [compile index 1324] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:37 [compile index 1324] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:37 [compile index 1324] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'SHA512_in_agent_random_seq' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:55 [compile index 1324] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'SHA512_in_reset_s' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:56 [compile index 1324] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'SHA512_in_agent_random_seq' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_random_sequence.svh:57 [compile index 1324] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_parameters_pkg' is not elaborated + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/parameters/HMAC_parameters_pkg.sv:16 [compile index 1326] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_sequences_pkg' is not elaborated + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/HMAC_sequences_pkg.sv:22 [compile index 1327] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_bench_sequence_base' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:23 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_field_automation' is not a member of 'super' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:23 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:23 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:23 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:58 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:62 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:68 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'UVMF_CONFIGURATIONS' is not declared + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:70 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_agent_random_seq' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:101 [compile index 1329] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_env_seq' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:104 [compile index 1329] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_random_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:23 [compile index 1332] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:23 [compile index 1332] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:23 [compile index 1332] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_agent_random_seq' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:41 [compile index 1332] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_reset_s' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:42 [compile index 1332] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_agent_random_seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_random_sequence.svh:43 [compile index 1332] +*** Error: ILLEGAL_RETURN_VALUE_TYPE: Illegal return value of type 'HMAC_otf_reset_sequence' for function of type 'uvm_object' (class types are not assignment compatible) + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh:23 [compile index 1334] +*** Error: UNDECLARED_IDENTIFIER: Identifier '__m_uvm_status_container' is not declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh:23 [compile index 1334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'set_name' is not a member of 'tmp' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh:23 [compile index 1334] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'HMAC_in_otf_reset_s' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_otf_reset_sequence.svh:42 [compile index 1334] +*** Warning: UNELABORATED_PACKAGE: Package 'work.soc_ifc_tests_pkg' is not elaborated + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:35 [compile index 1345] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_env_configuration' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:20 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_environment' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:21 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_bench_sequence_base' is not declared + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:25 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_in_agent_BFM' is not declared + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:32 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ECC_out_agent_BFM' is not declared + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:33 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'uvmf_active_passive_t' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:36 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'ACTIVE' is not declared + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:37 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'PASSIVE' is not declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:38 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'super' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:49 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'build_phase' is not a member of 'super' + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:68 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:71 [compile index 1347] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'NA' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:71 [compile index 1347] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh:30 [compile index 1348] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'end_of_elaboration_phase' is not a member of 'super' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh:36 [compile index 1348] +*** Error: NON_EXISTING_TYPE: Non existing type 'ECC_bench_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh:30 [compile index 1349] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_rand_test.svh:57 [compile index 1350] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_cmdline_test.svh:57 [compile index 1351] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_trng_test.svh:54 [compile index 1352] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'environment' is not a member of 'this' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_trng_test.svh:57 [compile index 1352] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'environment' is not a member of 'this' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/soc_ifc_trng_test.svh:58 [compile index 1352] +*** Warning: UNELABORATED_PACKAGE: Package 'work.caliptra_top_tests_pkg' is not elaborated + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:35 [compile index 1353] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rand_test.svh:57 [compile index 1358] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_test.svh:57 [compile index 1359] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_wdt_independent_test.svh:57 [compile index 1360] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_cmdline_test.svh:57 [compile index 1361] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start_of_simulation_phase' is not a member of 'super' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rom_test.svh:54 [compile index 1362] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'configuration' is not declared + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rom_test.svh:71 [compile index 1362] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'run_phase' is not a member of 'super' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/caliptra_top_rom_test.svh:79 [compile index 1362] +*** Warning: UNELABORATED_PACKAGE: Package 'work.kv_tests_pkg' is not elaborated + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:35 [compile index 1363] +*** Warning: UNELABORATED_PACKAGE: Package 'work.pv_tests_pkg' is not elaborated + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:35 [compile index 1372] +*** Warning: UNELABORATED_PACKAGE: Package 'work.mldsa_tests_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:21 [compile index 1380] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_test.svh:43 [compile index 1385] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_zeroize_test.svh:43 [compile index 1386] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_early_run_test.svh:43 [compile index 1387] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_key_gen_and_sign_test.svh:43 [compile index 1388] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_sign_gen_test.svh:43 [compile index 1389] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_reset_test.svh:44 [compile index 1390] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_KATs_test.svh:44 [compile index 1391] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_keygen_signing_KATs_test.svh:44 [compile index 1392] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_verif_KATs_test.svh:44 [compile index 1393] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_test.svh:43 [compile index 1394] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_verif_fail_test.svh:53 [compile index 1395] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'start' is not a member of 'seq' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/ML_DSA_randomized_all_test.svh:44 [compile index 1396] +*** Warning: UNELABORATED_PACKAGE: Package 'work.SHA512_tests_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/SHA512_tests_pkg.sv:21 [compile index 1397] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ECC_tests_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/ECC_tests_pkg.sv:21 [compile index 1403] +*** Warning: UNELABORATED_PACKAGE: Package 'work.HMAC_tests_pkg' is not elaborated + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/HMAC_tests_pkg.sv:21 [compile index 1412] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__AES_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:13 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__AES_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:14 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__AES_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:30 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__AES_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:33 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__AES_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:43 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__AES_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:44 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__AES_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:60 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__AES_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:63 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:73 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:74 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:75 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:76 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_fld_cg' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:77 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:102 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:103 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:104 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:105 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_read_ctrl_reg_fld_cg' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:108 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:118 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:119 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:120 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_fld_cg' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:121 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:143 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:144 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:145 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_status_reg_fld_cg' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:148 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_en_t_bit_cg' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:158 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_en_t_bit_cg' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:159 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_en_t_fld_cg' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:160 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__global_intr_en_t_bit_cg' + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:179 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__global_intr_en_t_bit_cg' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:180 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__global_intr_en_t_fld_cg' is undeclared) + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:183 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:193 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:194 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:195 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:196 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_en_t_fld_cg' + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:197 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 222 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:222 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:223 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:224 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_en_t_bit_cg' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:225 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__error_intr_en_t_fld_cg' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:228 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_en_t_bit_cg' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:238 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_en_t_fld_cg' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:239 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__notif_intr_en_t_bit_cg' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:255 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__notif_intr_en_t_fld_cg' is undeclared) + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:258 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:268 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:269 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:285 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' is undeclared) + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:288 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:298 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:299 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:315 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:318 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:328 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:329 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:330 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:331 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_fld_cg' + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:332 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:357 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:358 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:359 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:360 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_fld_cg' is undeclared) + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:363 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:373 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:374 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:390 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' is undeclared) + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:393 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:403 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:404 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:405 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 406 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:406 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__error_intr_trig_t_fld_cg' + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:407 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:432 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:433 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:434 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__error_intr_trig_t_bit_cg' + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:435 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__error_intr_trig_t_fld_cg' is undeclared) + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:438 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_trig_t_bit_cg' + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:448 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__notif_intr_trig_t_fld_cg' + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:449 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__notif_intr_trig_t_bit_cg' + at line 465 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:465 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__notif_intr_trig_t_fld_cg' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:468 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_35ace267_bit_cg' + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:478 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_35ace267_fld_cg' + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:479 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__intr_count_t_cnt_35ace267_bit_cg' + at line 495 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:495 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__intr_count_t_cnt_35ace267_fld_cg' is undeclared) + at line 498 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:498 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_73c42c28_bit_cg' + at line 508 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:508 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_73c42c28_fld_cg' + at line 509 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:509 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__intr_count_t_cnt_73c42c28_bit_cg' + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:525 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__intr_count_t_cnt_73c42c28_fld_cg' is undeclared) + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:528 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_d8af96ff_bit_cg' + at line 538 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:538 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_d8af96ff_fld_cg' + at line 539 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:539 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__intr_count_t_cnt_d8af96ff_bit_cg' + at line 555 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:555 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__intr_count_t_cnt_d8af96ff_fld_cg' is undeclared) + at line 558 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:558 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_9bd7f809_bit_cg' + at line 568 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:568 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_9bd7f809_fld_cg' + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:569 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'aes_clp_reg__intr_count_t_cnt_9bd7f809_bit_cg' + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:585 [compile index 1810] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'aes_clp_reg__intr_count_t_cnt_9bd7f809_fld_cg' is undeclared) + at line 588 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:588 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_be67d6d5_bit_cg' + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:598 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'aes_clp_reg__intr_count_t_cnt_be67d6d5_fld_cg' + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:599 [compile index 1810] +*** Warning: UNELABORATED_PACKAGE: Package 'work.aes_clp_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:3 [compile index 1810] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__id_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:13 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__id_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:14 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__id_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:30 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__id_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:33 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__cap_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:43 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__cap_bit_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:44 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__cap_fld_cg' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:45 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__cap_bit_cg' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:64 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__cap_bit_cg' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:65 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__cap_fld_cg' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:68 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:78 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:79 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:80 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:81 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:82 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:83 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:84 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:85 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:86 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:87 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_bit_cg' + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:88 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__ctrl_fld_cg' + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:89 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:135 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:136 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:137 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:138 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:139 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:140 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:141 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:142 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:143 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:144 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__ctrl_bit_cg' + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:145 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__ctrl_fld_cg' is undeclared) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:148 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:158 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:159 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:160 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:161 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:162 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:163 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:164 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_bit_cg' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:165 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status0_fld_cg' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:166 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:203 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:204 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:205 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:206 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:207 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:208 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:209 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status0_bit_cg' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:210 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__status0_fld_cg' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:213 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status1_bit_cg' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:223 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__status1_fld_cg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:224 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__status1_bit_cg' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:240 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__status1_fld_cg' is undeclared) + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:243 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__src_addr_l_bit_cg' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:253 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__src_addr_l_fld_cg' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:254 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__src_addr_l_bit_cg' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:270 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__src_addr_l_fld_cg' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:273 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__src_addr_h_bit_cg' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:283 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__src_addr_h_fld_cg' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:284 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__src_addr_h_bit_cg' + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:300 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__src_addr_h_fld_cg' is undeclared) + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:303 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__dst_addr_l_bit_cg' + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:313 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__dst_addr_l_fld_cg' + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:314 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__dst_addr_l_bit_cg' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:330 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__dst_addr_l_fld_cg' is undeclared) + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:333 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__dst_addr_h_bit_cg' + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:343 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__dst_addr_h_fld_cg' + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:344 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__dst_addr_h_bit_cg' + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:360 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__dst_addr_h_fld_cg' is undeclared) + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:363 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__byte_count_bit_cg' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:373 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__byte_count_fld_cg' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:374 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__byte_count_bit_cg' + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:390 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__byte_count_fld_cg' is undeclared) + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:393 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__block_size_bit_cg' + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:403 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__block_size_bit_cg' + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:404 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__block_size_fld_cg' + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:405 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__block_size_bit_cg' + at line 424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:424 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__block_size_bit_cg' + at line 425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:425 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__block_size_fld_cg' is undeclared) + at line 428 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:428 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__write_data_bit_cg' + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:438 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__write_data_fld_cg' + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:439 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__write_data_bit_cg' + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:455 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__write_data_fld_cg' is undeclared) + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:458 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__read_data_bit_cg' + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:468 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__read_data_fld_cg' + at line 469 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:469 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__read_data_bit_cg' + at line 485 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:485 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__read_data_fld_cg' is undeclared) + at line 488 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:488 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 498 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:498 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 499 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:499 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__global_intr_en_t_fld_cg' + at line 500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:500 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 519 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:519 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'axi_dma_reg__intr_block_t__global_intr_en_t_bit_cg' + at line 520 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:520 [compile index 1812] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'axi_dma_reg__intr_block_t__global_intr_en_t_fld_cg' is undeclared) + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:523 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 533 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:533 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 534 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:534 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 535 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:535 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'axi_dma_reg__intr_block_t__error_intr_en_t_bit_cg' + at line 536 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:536 [compile index 1812] +*** Warning: UNELABORATED_PACKAGE: Package 'work.axi_dma_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:3 [compile index 1812] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyDataVaultCtrl_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:13 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyDataVaultCtrl_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:14 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__StickyDataVaultCtrl_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:30 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__StickyDataVaultCtrl_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:33 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyDataVaultReg_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:43 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyDataVaultReg_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:44 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__StickyDataVaultReg_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:60 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__StickyDataVaultReg_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:63 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__DataVaultCtrl_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:73 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__DataVaultCtrl_fld_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:74 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__DataVaultCtrl_bit_cg' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:90 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__DataVaultCtrl_fld_cg' is undeclared) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:93 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__DataVaultReg_bit_cg' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:103 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__DataVaultReg_fld_cg' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:104 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__DataVaultReg_bit_cg' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:120 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__DataVaultReg_fld_cg' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:123 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__LockableScratchRegCtrl_bit_cg' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:133 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__LockableScratchRegCtrl_fld_cg' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:134 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__LockableScratchRegCtrl_bit_cg' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:150 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__LockableScratchRegCtrl_fld_cg' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:153 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__LockableScratchReg_bit_cg' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:163 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__LockableScratchReg_fld_cg' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:164 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__LockableScratchReg_bit_cg' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:180 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__LockableScratchReg_fld_cg' is undeclared) + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:183 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__NonStickyGenericScratchReg_bit_cg' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:193 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__NonStickyGenericScratchReg_fld_cg' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:194 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__NonStickyGenericScratchReg_bit_cg' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:210 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__NonStickyGenericScratchReg_fld_cg' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:213 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyLockableScratchRegCtrl_bit_cg' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:223 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyLockableScratchRegCtrl_fld_cg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:224 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__StickyLockableScratchRegCtrl_bit_cg' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:240 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__StickyLockableScratchRegCtrl_fld_cg' is undeclared) + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:243 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyLockableScratchReg_bit_cg' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:253 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'dv_reg__StickyLockableScratchReg_fld_cg' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:254 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'dv_reg__StickyLockableScratchReg_bit_cg' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:270 [compile index 1814] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'dv_reg__StickyLockableScratchReg_fld_cg' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:273 [compile index 1814] +*** Warning: UNELABORATED_PACKAGE: Package 'work.dv_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:3 [compile index 1814] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_IV_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:13 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_IV_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:14 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_IV_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:30 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__DOE_IV_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:33 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_CTRL_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:43 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_CTRL_bit_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:44 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_CTRL_fld_cg' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:45 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_CTRL_bit_cg' + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:64 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_CTRL_bit_cg' + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:65 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__DOE_CTRL_fld_cg' is undeclared) + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:68 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_bit_cg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:78 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_bit_cg' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:79 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_bit_cg' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:80 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_bit_cg' + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:81 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_bit_cg' + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:82 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__DOE_STATUS_fld_cg' + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:83 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_STATUS_bit_cg' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:111 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_STATUS_bit_cg' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:112 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_STATUS_bit_cg' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:113 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_STATUS_bit_cg' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:114 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__DOE_STATUS_bit_cg' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:115 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__DOE_STATUS_fld_cg' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:118 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_en_t_bit_cg' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:128 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_en_t_bit_cg' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:129 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_en_t_fld_cg' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:130 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__global_intr_en_t_bit_cg' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:149 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__global_intr_en_t_bit_cg' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:150 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__global_intr_en_t_fld_cg' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:153 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_en_t_bit_cg' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:163 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_en_t_bit_cg' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:164 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_en_t_bit_cg' + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:165 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_en_t_bit_cg' + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:166 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_en_t_fld_cg' + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:167 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_en_t_bit_cg' + at line 192 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:192 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_en_t_bit_cg' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:193 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_en_t_bit_cg' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:194 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_en_t_bit_cg' + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:195 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__error_intr_en_t_fld_cg' is undeclared) + at line 198 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:198 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_en_t_bit_cg' + at line 208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:208 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_en_t_fld_cg' + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:209 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__notif_intr_en_t_bit_cg' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:225 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__notif_intr_en_t_fld_cg' is undeclared) + at line 228 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:228 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 238 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:238 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' + at line 239 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:239 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:255 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' is undeclared) + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:258 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:268 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:269 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:285 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' is undeclared) + at line 288 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:288 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:298 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:299 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:300 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 301 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:301 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_fld_cg' + at line 302 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:302 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 327 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:327 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:328 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:329 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_bit_cg' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:330 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__error_intr_t_error0_sts_5ee134bf_error1_sts_aad9583f_error2_sts_6cad4575_error3_sts_735bbeba_fld_cg' is undeclared) + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:333 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:343 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:344 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:360 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' is undeclared) + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:363 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_trig_t_bit_cg' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:373 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_trig_t_bit_cg' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:374 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_trig_t_bit_cg' + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:375 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_trig_t_bit_cg' + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:376 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__error_intr_trig_t_fld_cg' + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:377 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_trig_t_bit_cg' + at line 402 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:402 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_trig_t_bit_cg' + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:403 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_trig_t_bit_cg' + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:404 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__error_intr_trig_t_bit_cg' + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:405 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__error_intr_trig_t_fld_cg' is undeclared) + at line 408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:408 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_trig_t_bit_cg' + at line 418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:418 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__notif_intr_trig_t_fld_cg' + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:419 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__notif_intr_trig_t_bit_cg' + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:435 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__notif_intr_trig_t_fld_cg' is undeclared) + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:438 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_130ab269_bit_cg' + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:448 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_130ab269_fld_cg' + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:449 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__intr_count_t_cnt_130ab269_bit_cg' + at line 465 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:465 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__intr_count_t_cnt_130ab269_fld_cg' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:468 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_324dfc53_bit_cg' + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:478 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_324dfc53_fld_cg' + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:479 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__intr_count_t_cnt_324dfc53_bit_cg' + at line 495 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:495 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__intr_count_t_cnt_324dfc53_fld_cg' is undeclared) + at line 498 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:498 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_791a4799_bit_cg' + at line 508 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:508 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_791a4799_fld_cg' + at line 509 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:509 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__intr_count_t_cnt_791a4799_bit_cg' + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:525 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__intr_count_t_cnt_791a4799_fld_cg' is undeclared) + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:528 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_e9de7334_bit_cg' + at line 538 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:538 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_e9de7334_fld_cg' + at line 539 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:539 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__intr_count_t_cnt_e9de7334_bit_cg' + at line 555 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:555 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__intr_count_t_cnt_e9de7334_fld_cg' is undeclared) + at line 558 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:558 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_be67d6d5_bit_cg' + at line 568 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:568 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_t_cnt_be67d6d5_fld_cg' + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:569 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'doe_reg__intr_count_t_cnt_be67d6d5_bit_cg' + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:585 [compile index 1816] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'doe_reg__intr_count_t_cnt_be67d6d5_fld_cg' is undeclared) + at line 588 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:588 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_incr_t_pulse_37026c97_bit_cg' + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:598 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'doe_reg__intr_count_incr_t_pulse_37026c97_fld_cg' + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:599 [compile index 1816] +*** Warning: UNELABORATED_PACKAGE: Package 'work.doe_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:3 [compile index 1816] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:13 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:14 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:30 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:33 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:43 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:44 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:60 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:63 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_CTRL_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:73 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_CTRL_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:74 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_CTRL_bit_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:75 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_CTRL_bit_cg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:76 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_CTRL_fld_cg' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:77 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_CTRL_bit_cg' + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:102 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_CTRL_bit_cg' + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:103 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_CTRL_bit_cg' + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:104 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_CTRL_bit_cg' + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:105 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_CTRL_fld_cg' is undeclared) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:108 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_STATUS_bit_cg' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:118 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_STATUS_bit_cg' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:119 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_STATUS_fld_cg' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:120 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_STATUS_bit_cg' + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:139 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_STATUS_bit_cg' + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:140 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_STATUS_fld_cg' is undeclared) + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:143 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SEED_bit_cg' + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:153 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SEED_fld_cg' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:154 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_SEED_bit_cg' + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:170 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_SEED_fld_cg' is undeclared) + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:173 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_MSG_bit_cg' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:183 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_MSG_fld_cg' + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:184 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_MSG_bit_cg' + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:200 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_MSG_fld_cg' is undeclared) + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:203 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PRIVKEY_OUT_bit_cg' + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:213 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PRIVKEY_OUT_fld_cg' + at line 214 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:214 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_PRIVKEY_OUT_bit_cg' + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:230 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_PRIVKEY_OUT_fld_cg' is undeclared) + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:233 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PUBKEY_X_bit_cg' + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:243 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PUBKEY_X_fld_cg' + at line 244 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:244 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_PUBKEY_X_bit_cg' + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:260 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_PUBKEY_X_fld_cg' is undeclared) + at line 263 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:263 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PUBKEY_Y_bit_cg' + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:273 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PUBKEY_Y_fld_cg' + at line 274 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:274 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_PUBKEY_Y_bit_cg' + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:290 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_PUBKEY_Y_fld_cg' is undeclared) + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:293 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SIGN_R_bit_cg' + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:303 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SIGN_R_fld_cg' + at line 304 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:304 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_SIGN_R_bit_cg' + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:320 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_SIGN_R_fld_cg' is undeclared) + at line 323 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:323 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SIGN_S_bit_cg' + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:333 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_SIGN_S_fld_cg' + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:334 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_SIGN_S_bit_cg' + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:350 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_SIGN_S_fld_cg' is undeclared) + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:353 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_VERIFY_R_bit_cg' + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:363 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_VERIFY_R_fld_cg' + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:364 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_VERIFY_R_bit_cg' + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:380 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_VERIFY_R_fld_cg' is undeclared) + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:383 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_IV_bit_cg' + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:393 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_IV_fld_cg' + at line 394 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:394 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_IV_bit_cg' + at line 410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:410 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_IV_fld_cg' is undeclared) + at line 413 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:413 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_NONCE_bit_cg' + at line 423 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:423 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_NONCE_fld_cg' + at line 424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:424 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_NONCE_bit_cg' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:440 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_NONCE_fld_cg' is undeclared) + at line 443 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:443 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PRIVKEY_IN_bit_cg' + at line 453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:453 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_PRIVKEY_IN_fld_cg' + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:454 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_PRIVKEY_IN_bit_cg' + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:470 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_PRIVKEY_IN_fld_cg' is undeclared) + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:473 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_DH_SHARED_KEY_bit_cg' + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:483 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'ecc_reg__ECC_DH_SHARED_KEY_fld_cg' + at line 484 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:484 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'ecc_reg__ECC_DH_SHARED_KEY_bit_cg' + at line 500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:500 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'ecc_reg__ECC_DH_SHARED_KEY_fld_cg' is undeclared) + at line 503 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:503 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:513 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:514 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 515 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:515 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:516 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_fld_cg' + at line 517 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:517 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 542 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:542 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:543 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 544 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:544 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 545 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:545 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_read_ctrl_reg_fld_cg' is undeclared) + at line 548 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:548 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 558 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:558 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 559 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:559 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 560 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:560 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_fld_cg' + at line 561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:561 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:583 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 584 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:584 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:585 [compile index 1818] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_status_reg_fld_cg' is undeclared) + at line 588 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:588 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 598 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:598 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 599 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:599 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 600 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:600 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:601 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:602 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 603 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:603 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 604 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:604 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 605 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:605 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 606 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:606 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_fld_cg' + at line 607 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:607 [compile index 1818] +*** Warning: UNELABORATED_PACKAGE: Package 'work.ecc_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:3 [compile index 1818] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:13 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:14 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:30 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:33 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:43 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:44 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:60 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:63 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:73 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:74 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:75 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:76 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:77 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:78 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_CTRL_fld_cg' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:79 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:110 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:111 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:112 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:113 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:114 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_CTRL_bit_cg' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:115 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_CTRL_fld_cg' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:118 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_STATUS_bit_cg' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:128 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_STATUS_bit_cg' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:129 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_STATUS_fld_cg' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:130 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_STATUS_bit_cg' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:149 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_STATUS_bit_cg' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:150 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_STATUS_fld_cg' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:153 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_KEY_bit_cg' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:163 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_KEY_fld_cg' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:164 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_KEY_bit_cg' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:180 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_KEY_fld_cg' is undeclared) + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:183 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_BLOCK_bit_cg' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:193 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_BLOCK_fld_cg' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:194 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_BLOCK_bit_cg' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:210 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_BLOCK_fld_cg' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:213 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_TAG_bit_cg' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:223 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_TAG_fld_cg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:224 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_TAG_bit_cg' + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:240 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_TAG_fld_cg' is undeclared) + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:243 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_LFSR_SEED_bit_cg' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:253 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__HMAC512_LFSR_SEED_fld_cg' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:254 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__HMAC512_LFSR_SEED_bit_cg' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:270 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__HMAC512_LFSR_SEED_fld_cg' is undeclared) + at line 273 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:273 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:283 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:284 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 285 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:285 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 286 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:286 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_fld_cg' + at line 287 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:287 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:312 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:313 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:314 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:315 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_read_ctrl_reg_fld_cg' is undeclared) + at line 318 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:318 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 328 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:328 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 329 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:329 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:330 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_fld_cg' + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:331 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 353 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:353 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 354 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:354 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:355 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_status_reg_fld_cg' is undeclared) + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:358 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:368 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 369 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:369 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:370 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:371 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 372 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:372 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:373 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:374 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:375 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:376 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_fld_cg' + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:377 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:417 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:418 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:419 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 420 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:420 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 421 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:421 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 422 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:422 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 423 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:423 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 424 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:424 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:425 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_write_ctrl_reg_fld_cg' is undeclared) + at line 428 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:428 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__global_intr_en_t_bit_cg' + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:438 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__global_intr_en_t_bit_cg' + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:439 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__global_intr_en_t_fld_cg' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:440 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__global_intr_en_t_bit_cg' + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:459 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__global_intr_en_t_bit_cg' + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:460 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__global_intr_en_t_fld_cg' is undeclared) + at line 463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:463 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__error_intr_en_t_bit_cg' + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:473 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__error_intr_en_t_bit_cg' + at line 474 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:474 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__error_intr_en_t_bit_cg' + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:475 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__error_intr_en_t_bit_cg' + at line 476 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:476 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__error_intr_en_t_fld_cg' + at line 477 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:477 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__error_intr_en_t_bit_cg' + at line 502 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:502 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__error_intr_en_t_bit_cg' + at line 503 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:503 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__error_intr_en_t_bit_cg' + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:504 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'hmac_reg__error_intr_en_t_bit_cg' + at line 505 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:505 [compile index 1820] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'hmac_reg__error_intr_en_t_fld_cg' is undeclared) + at line 508 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:508 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__notif_intr_en_t_bit_cg' + at line 518 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:518 [compile index 1820] +*** Error: NON_EXISTING_TYPE: Non existing type 'hmac_reg__notif_intr_en_t_fld_cg' + at line 519 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:519 [compile index 1820] +*** Warning: UNELABORATED_PACKAGE: Package 'work.hmac_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:3 [compile index 1820] +*** Warning: UNELABORATED_PACKAGE: Package 'work.interrupt_regs_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_uvm.sv:3 [compile index 1822] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:13 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:14 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:30 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:33 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:43 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:44 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:60 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:63 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:73 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:74 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:75 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:76 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:77 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:78 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_bit_cg' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:79 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_CTRL_fld_cg' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:80 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:114 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:115 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:116 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:117 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:118 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:119 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_CTRL_bit_cg' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:120 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_CTRL_fld_cg' is undeclared) + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:123 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_STATUS_bit_cg' + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:133 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_STATUS_bit_cg' + at line 134 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:134 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_STATUS_bit_cg' + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:135 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_STATUS_fld_cg' + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:136 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_STATUS_bit_cg' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:158 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_STATUS_bit_cg' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:159 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_STATUS_bit_cg' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:160 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_STATUS_fld_cg' is undeclared) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:163 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_BLOCK_bit_cg' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:173 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_BLOCK_fld_cg' + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:174 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_BLOCK_bit_cg' + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:190 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_BLOCK_fld_cg' is undeclared) + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:193 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_DIGEST_bit_cg' + at line 203 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:203 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__SHA256_DIGEST_fld_cg' + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:204 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__SHA256_DIGEST_bit_cg' + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:220 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__SHA256_DIGEST_fld_cg' is undeclared) + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:223 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_en_t_bit_cg' + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:233 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_en_t_bit_cg' + at line 234 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:234 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_en_t_fld_cg' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:235 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__global_intr_en_t_bit_cg' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:254 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__global_intr_en_t_bit_cg' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:255 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__global_intr_en_t_fld_cg' is undeclared) + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:258 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_en_t_bit_cg' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:268 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_en_t_bit_cg' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:269 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_en_t_bit_cg' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:270 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_en_t_bit_cg' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:271 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_en_t_fld_cg' + at line 272 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:272 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_en_t_bit_cg' + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:297 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_en_t_bit_cg' + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:298 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_en_t_bit_cg' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:299 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_en_t_bit_cg' + at line 300 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:300 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__error_intr_en_t_fld_cg' is undeclared) + at line 303 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:303 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_en_t_bit_cg' + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:313 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_en_t_fld_cg' + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:314 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__notif_intr_en_t_bit_cg' + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:330 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__notif_intr_en_t_fld_cg' is undeclared) + at line 333 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:333 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:343 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' + at line 344 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:344 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__global_intr_t_agg_sts_dd3dcf0a_bit_cg' + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:360 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__global_intr_t_agg_sts_dd3dcf0a_fld_cg' is undeclared) + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:363 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:373 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:374 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__global_intr_t_agg_sts_e6399b4a_bit_cg' + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:390 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__global_intr_t_agg_sts_e6399b4a_fld_cg' is undeclared) + at line 393 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:393 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 403 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:403 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 404 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:404 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 405 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:405 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 406 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:406 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_fld_cg' + at line 407 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:407 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 432 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:432 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 433 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:433 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:434 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_bit_cg' + at line 435 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:435 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__error_intr_t_error0_sts_28545624_error1_sts_40e0d3e1_error2_sts_b1cf2205_error3_sts_74a35378_fld_cg' is undeclared) + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:438 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:448 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:449 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_bit_cg' + at line 465 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:465 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__notif_intr_t_notif_cmd_done_sts_1c68637e_fld_cg' is undeclared) + at line 468 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:468 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_trig_t_bit_cg' + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:478 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_trig_t_bit_cg' + at line 479 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:479 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_trig_t_bit_cg' + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:480 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_trig_t_bit_cg' + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:481 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__error_intr_trig_t_fld_cg' + at line 482 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:482 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_trig_t_bit_cg' + at line 507 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:507 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_trig_t_bit_cg' + at line 508 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:508 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_trig_t_bit_cg' + at line 509 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:509 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__error_intr_trig_t_bit_cg' + at line 510 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:510 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__error_intr_trig_t_fld_cg' is undeclared) + at line 513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:513 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_trig_t_bit_cg' + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:523 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__notif_intr_trig_t_fld_cg' + at line 524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:524 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__notif_intr_trig_t_bit_cg' + at line 540 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:540 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__notif_intr_trig_t_fld_cg' is undeclared) + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:543 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__intr_count_t_cnt_35ace267_bit_cg' + at line 553 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:553 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha256_reg__intr_count_t_cnt_35ace267_fld_cg' + at line 554 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:554 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha256_reg__intr_count_t_cnt_35ace267_bit_cg' + at line 570 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:570 [compile index 1824] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha256_reg__intr_count_t_cnt_35ace267_fld_cg' is undeclared) + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:573 [compile index 1824] +*** Warning: UNELABORATED_PACKAGE: Package 'work.sha256_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:3 [compile index 1824] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_NAME_bit_cg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:13 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_NAME_fld_cg' + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:14 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_NAME_bit_cg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:30 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_NAME_fld_cg' is undeclared) + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:33 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_VERSION_bit_cg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:43 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_VERSION_fld_cg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:44 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_VERSION_bit_cg' + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:60 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_VERSION_fld_cg' is undeclared) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:63 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:73 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:74 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:75 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:76 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:77 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_bit_cg' + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:78 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_CTRL_fld_cg' + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:79 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:110 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:111 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:112 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:113 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:114 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_CTRL_bit_cg' + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:115 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_CTRL_fld_cg' is undeclared) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:118 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_STATUS_bit_cg' + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:128 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_STATUS_bit_cg' + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:129 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_STATUS_fld_cg' + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:130 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_STATUS_bit_cg' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:149 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_STATUS_bit_cg' + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:150 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_STATUS_fld_cg' is undeclared) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:153 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_BLOCK_bit_cg' + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:163 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_BLOCK_fld_cg' + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:164 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_BLOCK_bit_cg' + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:180 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_BLOCK_fld_cg' is undeclared) + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:183 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_DIGEST_bit_cg' + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:193 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_DIGEST_fld_cg' + at line 194 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:194 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_DIGEST_bit_cg' + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:210 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_DIGEST_fld_cg' is undeclared) + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:213 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:223 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:224 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:225 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_bit_cg' + at line 226 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:226 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_read_ctrl_reg_fld_cg' + at line 227 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:227 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 252 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:252 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 253 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:253 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 254 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:254 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_read_ctrl_reg_bit_cg' + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:255 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_read_ctrl_reg_fld_cg' is undeclared) + at line 258 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:258 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 268 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:268 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:269 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_bit_cg' + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:270 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_status_reg_fld_cg' + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:271 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 293 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:293 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 294 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:294 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_status_reg_bit_cg' + at line 295 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:295 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_status_reg_fld_cg' is undeclared) + at line 298 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:298 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 308 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:308 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 309 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:309 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:310 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:311 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:312 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 313 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:313 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 314 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:314 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 315 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:315 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_bit_cg' + at line 316 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:316 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'kv_write_ctrl_reg_fld_cg' + at line 317 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:317 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:357 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:358 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:359 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:360 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:361 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:362 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 363 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:363 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:364 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'kv_write_ctrl_reg_bit_cg' + at line 365 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:365 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'kv_write_ctrl_reg_fld_cg' is undeclared) + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:368 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_NONCE_bit_cg' + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:378 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_NONCE_fld_cg' + at line 379 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:379 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_GEN_PCR_HASH_NONCE_bit_cg' + at line 395 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:395 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_GEN_PCR_HASH_NONCE_fld_cg' is undeclared) + at line 398 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:398 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_CTRL_bit_cg' + at line 408 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:408 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_CTRL_fld_cg' + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:409 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_GEN_PCR_HASH_CTRL_bit_cg' + at line 425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:425 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_GEN_PCR_HASH_CTRL_fld_cg' is undeclared) + at line 428 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:428 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_bit_cg' + at line 438 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:438 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_bit_cg' + at line 439 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:439 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_fld_cg' + at line 440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:440 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_bit_cg' + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:459 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_bit_cg' + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:460 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_GEN_PCR_HASH_STATUS_fld_cg' is undeclared) + at line 463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:463 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_DIGEST_bit_cg' + at line 473 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:473 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__SHA512_GEN_PCR_HASH_DIGEST_fld_cg' + at line 474 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:474 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__SHA512_GEN_PCR_HASH_DIGEST_bit_cg' + at line 490 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:490 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__SHA512_GEN_PCR_HASH_DIGEST_fld_cg' is undeclared) + at line 493 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:493 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__global_intr_en_t_bit_cg' + at line 503 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:503 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__global_intr_en_t_bit_cg' + at line 504 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:504 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__global_intr_en_t_fld_cg' + at line 505 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:505 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__global_intr_en_t_bit_cg' + at line 524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:524 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'sha512_reg__global_intr_en_t_bit_cg' + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:525 [compile index 1826] +*** Error: UNDECLARED_IDENTIFIER: Identifier 'new' is not a member of 'fld_cg' (type 'sha512_reg__global_intr_en_t_fld_cg' is undeclared) + at line 528 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:528 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__error_intr_en_t_bit_cg' + at line 538 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:538 [compile index 1826] +*** Error: NON_EXISTING_TYPE: Non existing type 'sha512_reg__error_intr_en_t_bit_cg' + at line 539 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:539 [compile index 1826] +*** Warning: UNELABORATED_PACKAGE: Package 'work.sha512_reg_uvm' is not elaborated + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:3 [compile index 1826] +*** Warning: UNELABORATED_PACKAGE: Package 'work.doe_intr_regs_pkg' is not elaborated + at line 4 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_intr_regs_pkg.sv:4 [compile index 2052] +*** Warning: UNELABORATED_PACKAGE: Package 'work.interrupt_regs_pkg' is not elaborated + at line 4 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs_pkg.sv:4 [compile index 2054] +*** Warning: UNELABORATED_PACKAGE: Package 'work.fv_sha256_pkg' is not elaborated + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_pkg.sv:19 [compile index 2055] +*** Warning: UNELABORATED_PACKAGE: Package 'work.abr_prim_cipher_pkg' is not elaborated + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cipher_pkg.sv:17 [compile index 2056] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor_bfm.sv:45 [compile index 298] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:70 [compile index 301] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor_bfm.sv:31 [compile index 328] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor_bfm.sv:31 [compile index 331] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor_bfm.sv:45 [compile index 334] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor_bfm.sv:45 [compile index 337] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor_bfm.sv:45 [compile index 340] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor_bfm.sv:45 [compile index 343] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor_bfm.sv:31 [compile index 346] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor_bfm.sv:31 [compile index 349] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor_bfm.sv:31 [compile index 352] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor_bfm.sv:31 [compile index 355] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor_bfm.sv:45 [compile index 360] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor_bfm.sv:45 [compile index 363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor_bfm.sv:45 [compile index 366] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:70 [compile index 369] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:56 [compile index 372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:56 [compile index 375] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:70 [compile index 378] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:70 [compile index 381] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:70 [compile index 384] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:70 [compile index 387] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:56 [compile index 390] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:56 [compile index 393] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:56 [compile index 396] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:56 [compile index 399] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor_bfm.sv:46 [compile index 471] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:70 [compile index 474] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:70 [compile index 477] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:71 [compile index 480] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor_bfm.sv:46 [compile index 874] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:71 [compile index 877] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor_bfm.sv:45 [compile index 883] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:70 [compile index 886] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_if.sv:51 [compile index 1341] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_if.sv:43 [compile index 1442] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_if.sv:36 [compile index 1557] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_if.sv:29 [compile index 1558] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_if.sv:34 [compile index 1560] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_if.sv:27 [compile index 1561] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_if.sv:39 [compile index 1564] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_if.sv:42 [compile index 1565] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_if.sv:39 [compile index 1566] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_if.sv:39 [compile index 1574] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_if.sv:41 [compile index 1575] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_if.sv:39 [compile index 1576] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_if.sv:34 [compile index 1581] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_if.sv:27 [compile index 1582] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_if.sv:40 [compile index 1584] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_if.sv:39 [compile index 1585] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_if.sv:46 [compile index 1586] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg_hdl.sv:35 [compile index 178] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg_hdl.sv:35 [compile index 181] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg_hdl.sv:35 [compile index 184] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg_hdl.sv:21 [compile index 187] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg_hdl.sv:21 [compile index 190] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg_hdl.sv:35 [compile index 193] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg_hdl.sv:35 [compile index 196] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg_hdl.sv:35 [compile index 199] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg_hdl.sv:35 [compile index 202] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg_hdl.sv:35 [compile index 205] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg_hdl.sv:35 [compile index 208] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg_hdl.sv:21 [compile index 211] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg_hdl.sv:21 [compile index 214] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg_hdl.sv:21 [compile index 217] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg_hdl.sv:21 [compile index 220] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/parameters/caliptra_top_parameters_pkg.sv:32 [compile index 236] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv:8 [compile index 246] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/config_policies/qvip_apb5_slave_params_pkg.sv:12 [compile index 246] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:8 [compile index 250] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:12 [compile index 250] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:13 [compile index 250] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:8 [compile index 252] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:12 [compile index 252] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:13 [compile index 252] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:21 [compile index 254] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:23 [compile index 254] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:25 [compile index 254] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:26 [compile index 254] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:27 [compile index 254] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:21 [compile index 259] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:23 [compile index 259] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:25 [compile index 259] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:26 [compile index 259] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:27 [compile index 259] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 12 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv:12 [compile index 264] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv:15 [compile index 264] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv:16 [compile index 264] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv:17 [compile index 264] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv:21 [compile index 272] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv:23 [compile index 272] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv:25 [compile index 272] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_pkg.sv:26 [compile index 272] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:43 [compile index 283] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv:45 [compile index 1439] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv:42 [compile index 1525] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv:42 [compile index 1526] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv:27 [compile index 1527] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv:43 [compile index 1528] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv:27 [compile index 1531] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv:27 [compile index 1540] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg.sv:48 [compile index 304] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/soc_ifc_status_pkg.sv:49 [compile index 304] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/registers/soc_ifc_reg_model_top_pkg.sv:37 [compile index 529] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg.sv:48 [compile index 570] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/cptra_ctrl_pkg.sv:49 [compile index 570] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg.sv:48 [compile index 584] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/mbox_sram_pkg.sv:49 [compile index 584] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg_hdl.sv:35 [compile index 760] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:40 [compile index 763] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:41 [compile index 763] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:42 [compile index 763] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:43 [compile index 763] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'rw_txn_pkg' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/soc_ifc_env_pkg.sv:44 [compile index 763] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg_hdl.sv:35 [compile index 880] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg.sv:48 [compile index 889] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/cptra_status_pkg.sv:49 [compile index 889] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg.sv:48 [compile index 903] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/soc_ifc_ctrl_pkg.sv:49 [compile index 903] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv:48 [compile index 930] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/kv_read_pkg.sv:49 [compile index 930] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv:48 [compile index 946] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/pv_read_pkg.sv:49 [compile index 946] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv:34 [compile index 961] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/ECC_in_pkg.sv:35 [compile index 961] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv:34 [compile index 978] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/ECC_out_pkg.sv:35 [compile index 978] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv:34 [compile index 992] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/HMAC_in_pkg.sv:35 [compile index 992] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv:34 [compile index 1008] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/HMAC_out_pkg.sv:35 [compile index 1008] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv:48 [compile index 1022] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/kv_rst_pkg.sv:49 [compile index 1022] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv:48 [compile index 1045] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/kv_write_pkg.sv:49 [compile index 1045] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv:48 [compile index 1062] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/pv_rst_pkg.sv:49 [compile index 1062] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv:48 [compile index 1080] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/pv_write_pkg.sv:49 [compile index 1080] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv:34 [compile index 1095] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/SHA512_in_pkg.sv:35 [compile index 1095] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv:34 [compile index 1110] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/SHA512_out_pkg.sv:35 [compile index 1110] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv:40 [compile index 1143] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv:41 [compile index 1143] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv:42 [compile index 1143] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'rw_txn_pkg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/kv_env_pkg.sv:43 [compile index 1143] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv:40 [compile index 1179] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv:41 [compile index 1179] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv:42 [compile index 1179] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'rw_txn_pkg' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/pv_env_pkg.sv:43 [compile index 1179] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv:26 [compile index 1207] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv:27 [compile index 1207] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv:28 [compile index 1207] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'rw_txn_pkg' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/mldsa_env_pkg.sv:29 [compile index 1207] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/ECC_env_pkg.sv:25 [compile index 1215] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/HMAC_env_pkg.sv:25 [compile index 1223] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv:38 [compile index 1231] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv:39 [compile index 1231] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv:40 [compile index 1231] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/caliptra_top_env_pkg.sv:41 [compile index 1231] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv:38 [compile index 1237] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv:39 [compile index 1237] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv:40 [compile index 1237] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/caliptra_top_sequences_pkg.sv:41 [compile index 1237] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/parameters/soc_ifc_parameters_pkg.sv:32 [compile index 1247] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv:38 [compile index 1248] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv:39 [compile index 1248] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv:40 [compile index 1248] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/soc_ifc_sequences_pkg.sv:41 [compile index 1248] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'addr_map_pkg' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:21 [compile index 1256] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:23 [compile index 1256] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:25 [compile index 1256] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_seq_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:26 [compile index 1256] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf_tb/qvip_ahb_lite_slave_test_pkg.sv:27 [compile index 1256] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/parameters/mldsa_parameters_pkg.sv:18 [compile index 1261] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv:24 [compile index 1262] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv:25 [compile index 1262] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/mldsa_sequences_pkg.sv:26 [compile index 1262] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/SHA512_env_pkg.sv:25 [compile index 1279] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/parameters/kv_parameters_pkg.sv:32 [compile index 1287] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv:38 [compile index 1288] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv:39 [compile index 1288] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/kv_sequences_pkg.sv:40 [compile index 1288] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/parameters/pv_parameters_pkg.sv:32 [compile index 1297] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv:38 [compile index 1298] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv:39 [compile index 1298] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/pv_sequences_pkg.sv:40 [compile index 1298] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/parameters/ECC_parameters_pkg.sv:18 [compile index 1308] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/ECC_sequences_pkg.sv:24 [compile index 1309] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/parameters/SHA512_parameters_pkg.sv:18 [compile index 1318] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/SHA512_sequences_pkg.sv:24 [compile index 1319] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg_hdl' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/parameters/HMAC_parameters_pkg.sv:18 [compile index 1326] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/HMAC_sequences_pkg.sv:24 [compile index 1327] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:38 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'QUESTA_MVC' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:54 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'qvip_utils_pkg' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:55 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:56 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:57 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/soc_ifc_tests_pkg.sv:58 [compile index 1345] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:38 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'QUESTA_MVC' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:52 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'qvip_utils_pkg' + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:53 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:54 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:55 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_apb3_v1_0_pkg' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/caliptra_top_tests_pkg.sv:56 [compile index 1353] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:38 [compile index 1363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'QUESTA_MVC' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:49 [compile index 1363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'qvip_utils_pkg' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:50 [compile index 1363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:51 [compile index 1363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/kv_tests_pkg.sv:52 [compile index 1363] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:38 [compile index 1372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'QUESTA_MVC' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:49 [compile index 1372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'qvip_utils_pkg' + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:50 [compile index 1372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:51 [compile index 1372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/pv_tests_pkg.sv:52 [compile index 1372] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:24 [compile index 1380] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'QUESTA_MVC' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:29 [compile index 1380] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'qvip_utils_pkg' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:30 [compile index 1380] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mvc_pkg' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:31 [compile index 1380] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'mgc_ahb_v2_0_pkg' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/mldsa_tests_pkg.sv:32 [compile index 1380] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/tests/SHA512_tests_pkg.sv:24 [compile index 1397] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/ECC_tests_pkg.sv:24 [compile index 1403] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'uvmf_base_pkg' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/HMAC_tests_pkg.sv:24 [compile index 1412] +*** Error: NON_EXISTING_PACKAGE: Non existing package 'sampler_pkg' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:25 [compile index 1545] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:7 [compile index 256] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:11 [compile index 257] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_env_configuration.svh:7 [compile index 274] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/qvip_apb5_slave_environment.svh:11 [compile index 275] +*** Error: EXTEND_CLASS: Extending non existing class 'reg2apb_adapter' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/caliptra_reg2apb_adapter.svh:35 [compile index 278] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_transaction.svh:31 [compile index 308] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_configuration.svh:30 [compile index 309] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver.svh:32 [compile index 310] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_monitor.svh:33 [compile index 311] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_sequence_base.svh:30 [compile index 313] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_agent.svh:28 [compile index 317] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_transaction.svh:31 [compile index 574] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_configuration.svh:30 [compile index 575] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver.svh:32 [compile index 576] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_monitor.svh:33 [compile index 577] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_sequence_base.svh:30 [compile index 579] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_agent.svh:28 [compile index 583] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_transaction.svh:31 [compile index 588] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_configuration.svh:30 [compile index 589] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver.svh:32 [compile index 590] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_monitor.svh:33 [compile index 591] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_sequence_base.svh:30 [compile index 593] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_agent.svh:28 [compile index 597] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_configuration.svh:31 [compile index 767] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_environment.svh:30 [compile index 772] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/environment_packages/soc_ifc_env_pkg/src/soc_ifc_env_sequence_base.svh:31 [compile index 773] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_transaction.svh:31 [compile index 893] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_configuration.svh:30 [compile index 894] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver.svh:32 [compile index 895] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_monitor.svh:33 [compile index 896] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_sequence_base.svh:30 [compile index 898] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_agent.svh:28 [compile index 902] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_transaction.svh:31 [compile index 907] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_configuration.svh:30 [compile index 908] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver.svh:32 [compile index 909] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_monitor.svh:33 [compile index 910] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_sequence_base.svh:30 [compile index 912] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_agent.svh:28 [compile index 916] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_transaction.svh:33 [compile index 934] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_configuration.svh:33 [compile index 935] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver.svh:35 [compile index 936] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_monitor.svh:36 [compile index 937] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_sequence_base.svh:33 [compile index 939] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_agent.svh:31 [compile index 944] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_transaction.svh:34 [compile index 950] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_configuration.svh:33 [compile index 951] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver.svh:35 [compile index 952] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_monitor.svh:36 [compile index 953] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_sequence_base.svh:33 [compile index 955] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_agent.svh:31 [compile index 960] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_transaction.svh:21 [compile index 965] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_configuration.svh:20 [compile index 966] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver.svh:22 [compile index 967] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_monitor.svh:23 [compile index 968] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_sequence_base.svh:20 [compile index 970] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_agent.svh:18 [compile index 977] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_transaction.svh:22 [compile index 982] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_configuration.svh:21 [compile index 983] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver.svh:23 [compile index 984] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_monitor.svh:24 [compile index 985] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_sequence_base.svh:21 [compile index 987] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_agent.svh:19 [compile index 991] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_transaction.svh:22 [compile index 996] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_configuration.svh:21 [compile index 997] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver.svh:23 [compile index 998] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_monitor.svh:24 [compile index 999] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_sequence_base.svh:21 [compile index 1001] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_agent.svh:19 [compile index 1007] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_transaction.svh:23 [compile index 1012] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_configuration.svh:22 [compile index 1013] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver.svh:24 [compile index 1014] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_monitor.svh:25 [compile index 1015] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_sequence_base.svh:22 [compile index 1017] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_agent.svh:20 [compile index 1021] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_transaction.svh:31 [compile index 1026] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_configuration.svh:30 [compile index 1027] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver.svh:32 [compile index 1028] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_monitor.svh:33 [compile index 1029] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_sequence_base.svh:30 [compile index 1031] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_agent.svh:28 [compile index 1044] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_transaction.svh:33 [compile index 1049] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_configuration.svh:33 [compile index 1050] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver.svh:35 [compile index 1051] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_monitor.svh:36 [compile index 1052] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_sequence_base.svh:33 [compile index 1054] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_agent.svh:31 [compile index 1061] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_transaction.svh:31 [compile index 1066] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_configuration.svh:30 [compile index 1067] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver.svh:32 [compile index 1068] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_monitor.svh:33 [compile index 1069] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_sequence_base.svh:30 [compile index 1071] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_agent.svh:28 [compile index 1079] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_transaction.svh:34 [compile index 1084] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_configuration.svh:33 [compile index 1085] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver.svh:35 [compile index 1086] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_monitor.svh:36 [compile index 1087] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_sequence_base.svh:33 [compile index 1089] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_agent.svh:31 [compile index 1094] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_transaction.svh:22 [compile index 1099] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_configuration.svh:21 [compile index 1100] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver.svh:23 [compile index 1101] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_monitor.svh:24 [compile index 1102] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_sequence_base.svh:21 [compile index 1104] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_agent.svh:19 [compile index 1109] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_transaction_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_transaction.svh:23 [compile index 1114] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent_configuration_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_configuration.svh:22 [compile index 1115] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_driver_base' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver.svh:24 [compile index 1116] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_monitor_base' + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_monitor.svh:25 [compile index 1117] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_sequence_base.svh:22 [compile index 1119] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_parameterized_agent' + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_agent.svh:20 [compile index 1123] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_configuration.svh:31 [compile index 1146] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_environment.svh:30 [compile index 1150] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/environment_packages/kv_env_pkg/src/kv_env_sequence_base.svh:31 [compile index 1151] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_configuration.svh:31 [compile index 1182] +*** Error: EXTEND_CLASS: Extending non existing class 'ahb_reg_predictor' + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_ahb_reg_predictor.svh:24 [compile index 1185] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_environment.svh:30 [compile index 1187] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/environment_packages/pv_env_pkg/src/pv_env_sequence_base.svh:31 [compile index 1188] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_configuration.svh:17 [compile index 1210] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_environment.svh:16 [compile index 1213] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/src/mldsa_env_sequence_base.svh:17 [compile index 1214] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_configuration.svh:17 [compile index 1218] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_environment.svh:16 [compile index 1220] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/environment_packages/ECC_env_pkg/src/ECC_env_sequence_base.svh:17 [compile index 1221] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_configuration.svh:17 [compile index 1226] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_environment.svh:16 [compile index 1228] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/environment_packages/HMAC_env_pkg/src/HMAC_env_sequence_base.svh:17 [compile index 1229] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_configuration.svh:31 [compile index 1234] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_environment.svh:30 [compile index 1235] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_virtual_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/verification_ip/environment_packages/caliptra_top_env_pkg/src/caliptra_top_env_sequence_base.svh:31 [compile index 1236] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/caliptra_top_bench_sequence_base.svh:35 [compile index 1239] +*** Error: EXTEND_CLASS: Extending non existing class 'ECC_bench_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh:17 [compile index 1240] +*** Error: EXTEND_CLASS: Extending non existing class 'ECC_bench_sequence_base' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:18 [compile index 1241] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/soc_ifc_bench_sequence_base.svh:35 [compile index 1250] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/mldsa_bench_sequence_base.svh:21 [compile index 1264] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_configuration.svh:17 [compile index 1282] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_environment.svh:16 [compile index 1284] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/environment_packages/SHA512_env_pkg/src/SHA512_env_sequence_base.svh:15 [compile index 1285] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/kv_bench_sequence_base.svh:35 [compile index 1290] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/pv_bench_sequence_base.svh:35 [compile index 1300] +*** Error: EXTEND_CLASS: Extending non existing class 'mldsa_bench_sequence_base' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh:18 [compile index 1307] +*** Error: EXTEND_CLASS: Extending non existing class 'HMAC_bench_sequence_base' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:18 [compile index 1466] +*** Error: EXTEND_CLASS: Extending non existing class 'caliptra_top_bench_sequence_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1471] +*** Error: EXTEND_CLASS: Extending non existing class 'kv_rst_sequence_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1477] +*** Error: EXTEND_CLASS: Extending non existing class 'pv_bench_sequence_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1483] +*** Error: EXTEND_CLASS: Extending non existing class 'soc_ifc_bench_sequence_base' + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1493] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/ECC_bench_sequence_base.svh:21 [compile index 1311] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/sequences/src/SHA512_bench_sequence_base.svh:18 [compile index 1321] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_sequence_base' + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/HMAC_bench_sequence_base.svh:21 [compile index 1329] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:23 [compile index 1347] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_configuration_base' + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_env_configuration.svh:7 [compile index 1433] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh:23 [compile index 1434] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:23 [compile index 1470] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:37 [compile index 1475] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:37 [compile index 1481] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:37 [compile index 1487] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_test_base' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:37 [compile index 1497] +*** Error: EXTEND_CLASS: Extending non existing class 'HMAC_bench_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:17 [compile index 1467] +*** Error: EXTEND_CLASS: Extending non existing class 'caliptra_top_bench_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:31 [compile index 1472] +*** Error: EXTEND_CLASS: Extending non existing class 'kv_bench_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:31 [compile index 1478] +*** Error: EXTEND_CLASS: Extending non existing class 'pv_bench_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:31 [compile index 1484] +*** Error: EXTEND_CLASS: Extending non existing class 'soc_ifc_bench_sequence_base' + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:31 [compile index 1494] +*** Error: EXTEND_CLASS: Extending non existing class 'mldsa_bench_sequence_base' + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:17 [compile index 1503] +*** Error: EXTEND_CLASS: Extending non existing class 'uvmf_environment_base' + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_environment.svh:11 [compile index 1500] +Performing mixed post full build step (VLOG - RD) [227 ms] ... +Performing mixed post full build step (VLOG - US) [2075 ms] ... +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'getenv', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:22 [compile index 326] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:22 [compile index 326] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'getenv', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:26 [compile index 1125] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:26 [compile index 1125] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'getenv', already declared + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:22 [compile index 1422] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:22 [compile index 1422] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'getenv', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:22 [compile index 1544] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:22 [compile index 1544] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'getenv', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:22 [compile index 1545] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:22 [compile index 1545] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'env_name', already declared + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:22 [compile index 1857] +*** Warning: DUPLICATE_PACKAGE: Duplicate package 'qvip_ahb_lite_slave_params_pkg', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/config_policies/qvip_ahb_lite_slave_params_pkg.sv:7 [compile index 252] +*** Warning: DUPLICATE_PACKAGE: Duplicate package 'qvip_ahb_lite_slave_pkg', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv:16 [compile index 259] +*** Warning: DUPLICATE_PACKAGE: Duplicate package 'qvip_ahb_lite_slave_pkg', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/qvip_ahb_lite_slave_pkg.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/qvip_ahb_lite_slave_pkg.sv:7 [compile index 264] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hdl_top.sv:41 [compile index 1439] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv:38 [compile index 1525] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv:38 [compile index 1526] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hdl_top.sv:24 [compile index 1527] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv:38 [compile index 1528] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hdl_top.sv:24 [compile index 1531] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_top', already declared + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hdl_top.sv:24 [compile index 1540] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:20 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:21 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:50 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:51 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:82 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:83 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:117 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:118 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:150 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:151 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:180 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:181 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:210 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:211 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 240 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:240 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 241 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:241 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 270 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:270 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 271 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:271 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 366 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:366 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 367 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:367 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 399 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:399 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 400 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:400 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:429 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 430 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:430 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 459 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:459 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:460 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 489 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:489 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 490 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:490 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 519 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:519 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 520 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:520 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 549 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:549 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 550 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:550 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 579 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:579 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 580 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:580 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 609 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:609 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 610 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:610 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 639 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:639 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 640 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:640 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 669 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:669 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 670 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:670 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 699 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:699 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 700 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:700 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 729 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:729 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 730 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:730 [compile index 1201] +*** Warning: DUPLICATE_PACKAGE: Duplicate package 'mldsa_reg_uvm', already declared + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv + at line 3 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/verification_ip/environment_packages/mldsa_env_pkg/registers/mldsa_reg_uvm.sv:3 [compile index 1201] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 375 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:375 [compile index 1197] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:376 [compile index 1197] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:418 [compile index 1197] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg_uvm.sv:419 [compile index 1197] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/example_derived_test_sequence.svh:18 [compile index 1241] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/example_derived_test_sequence.svh:18 [compile index 1466] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1471] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1477] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1483] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test_sequence', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/example_derived_test_sequence.svh + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/example_derived_test_sequence.svh:32 [compile index 1493] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/test_top.svh:23 [compile index 1347] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/test_top.svh:23 [compile index 1470] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/test_top.svh:37 [compile index 1475] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/test_top.svh:37 [compile index 1481] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/test_top.svh:37 [compile index 1487] +*** Warning: DUPLICATE_CLASS: Duplicate class 'test_top', already declared + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/test_top.svh + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/test_top.svh:37 [compile index 1497] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/sequences/src/register_test_sequence.svh:17 [compile index 1467] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/sequences/src/register_test_sequence.svh:31 [compile index 1472] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/sequences/src/register_test_sequence.svh:31 [compile index 1478] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/sequences/src/register_test_sequence.svh:31 [compile index 1484] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/sequences/src/register_test_sequence.svh:31 [compile index 1494] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test_sequence', already declared + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/sequences/src/register_test_sequence.svh + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/sequences/src/register_test_sequence.svh:17 [compile index 1503] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/example_derived_test.svh:19 [compile index 1468] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/example_derived_test.svh:33 [compile index 1473] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/example_derived_test.svh:33 [compile index 1479] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/example_derived_test.svh:33 [compile index 1485] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/example_derived_test.svh:33 [compile index 1495] +*** Warning: DUPLICATE_CLASS: Duplicate class 'example_derived_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/example_derived_test.svh + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/example_derived_test.svh:19 [compile index 1504] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/tests/src/register_test.svh:19 [compile index 1469] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/tests/src/register_test.svh:33 [compile index 1474] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/tests/src/register_test.svh:33 [compile index 1480] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/tests/src/register_test.svh:33 [compile index 1486] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/tests/src/register_test.svh:33 [compile index 1496] +*** Warning: DUPLICATE_CLASS: Duplicate class 'register_test', already declared + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/tests/src/register_test.svh + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/tests/src/register_test.svh:19 [compile index 1505] +*** Warning: DUPLICATE_TYPEDEF: Duplicate typedef 'type_id', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'str__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'tmp_data__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'what__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field 'type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function '__m_uvm_field_automation', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'create', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_object_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_current', already declared + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:13 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_data', already declared + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:14 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_is_read', already declared + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:15 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:36 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'reg_bit', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:36 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field 'rise', already declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:75 [compile index 1517] +*** Warning: DUPLICATE_CONSTRUCTOR: Duplicate constructor 'new', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:108 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'data', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:108 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'byte_en', already declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:109 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'is_read', already declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:110 [compile index 1517] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'map', already declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:111 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample_values', already declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:119 [compile index 1517] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'build', already declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:167 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:256 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:277 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1517] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1517] +*** Warning: DUPLICATE_TYPEDEF: Duplicate typedef 'type_id', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'str__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'tmp_data__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'what__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field 'type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function '__m_uvm_field_automation', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'create', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_object_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_current', already declared + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:13 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_data', already declared + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:14 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_is_read', already declared + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:15 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:36 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'reg_bit', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:36 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field 'rise', already declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:75 [compile index 1518] +*** Warning: DUPLICATE_CONSTRUCTOR: Duplicate constructor 'new', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:108 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'data', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:108 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'byte_en', already declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:109 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'is_read', already declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:110 [compile index 1518] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'map', already declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:111 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample_values', already declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:119 [compile index 1518] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'build', already declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/smp/uvm_reg.sv + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:167 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:256 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:277 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1518] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1518] +*** Warning: DUPLICATE_TYPEDEF: Duplicate typedef 'type_id', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'str__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'tmp_data__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'what__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field 'type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function '__m_uvm_field_automation', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'create', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_object_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:11 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_current', already declared + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:13 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_data', already declared + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:14 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_is_read', already declared + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:15 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'reg_bit', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:36 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field 'rise', already declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:75 [compile index 1519] +*** Warning: DUPLICATE_CONSTRUCTOR: Duplicate constructor 'new', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:98 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:98 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'data', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:108 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'byte_en', already declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:109 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'is_read', already declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:110 [compile index 1519] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'map', already declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:111 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample_values', already declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:119 [compile index 1519] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'build', already declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/uvm/uvm_reg.sv + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:167 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:256 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:277 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:281 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:281 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1519] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1519] +*** Warning: DUPLICATE_TYPEDEF: Duplicate typedef 'type_id', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'str__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'tmp_data__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'what__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field 'type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function '__m_uvm_field_automation', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'create', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_object_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:11 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_current', already declared + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:13 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_data', already declared + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:14 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_is_read', already declared + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:15 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'reg_bit', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:36 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field 'rise', already declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:75 [compile index 1520] +*** Warning: DUPLICATE_CONSTRUCTOR: Duplicate constructor 'new', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:98 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'data', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:108 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'byte_en', already declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:109 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'is_read', already declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:110 [compile index 1520] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'map', already declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:111 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample_values', already declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:119 [compile index 1520] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'build', already declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/cov/uvm_reg.sv + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:167 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:256 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:277 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:281 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1520] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv:283 [compile index 1520] +*** Warning: DUPLICATE_TYPEDEF: Duplicate typedef 'type_id', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'str__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'tmp_data__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'what__', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field 'type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function '__m_uvm_field_automation', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'create', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_object_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'get_type_name', already declared + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 11 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:11 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_current', already declared + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 13 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:13 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_data', already declared + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 14 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:14 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field 'm_is_read', already declared + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 15 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:15 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'reg_bit', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:36 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field 'rise', already declared + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:75 [compile index 1521] +*** Warning: DUPLICATE_CONSTRUCTOR: Duplicate constructor 'new', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'name', already declared + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:98 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'data', already declared + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:108 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'byte_en', already declared + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:109 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'is_read', already declared + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:110 [compile index 1521] +*** Warning: DUPLICATE_ARGUMENT: Duplicate argument 'map', already declared + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:111 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample_values', already declared + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:119 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'build', already declared + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/smp/uvm_reg.sv + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:167 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:256 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:277 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:281 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1521] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/tools/templates/rdl/uvm/uvm_reg.sv:283 [compile index 1521] +*** Warning: DUPLICATE_FUNCTION: Duplicate function 'sample', already declared + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:108 [compile index 1516] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 277 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:277 [compile index 1516] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:281 [compile index 1516] +*** Warning: DUPLICATE_FIELD: Duplicate field '1', already declared + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1516] +*** Warning: DUPLICATE_FIELD: Duplicate field '0', already declared + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv + at line 283 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/tools/templates/rdl/cov/uvm_reg.sv:283 [compile index 1516] +*** Warning: DUPLICATE_MODULE: Duplicate module 'sig_dual_port_memory', already declared + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:260 [compile index 1623] +*** Warning: DUPLICATE_MODULE: Duplicate module 'sig_dual_port_memory', already declared + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv + at line 259 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:259 [compile index 1802] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/tb/testbench/hvl_top.sv:16 [compile index 1559] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hvl_top.sv:30 [compile index 1562] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hvl_top.sv:30 [compile index 1563] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hvl_top.sv:30 [compile index 1573] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/tb/testbench/hvl_top.sv:16 [compile index 1580] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hvl_top.sv:30 [compile index 1583] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_top', already declared + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/tb/testbench/hvl_top.sv + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/tb/testbench/hvl_top.sv:16 [compile index 1598] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_qvip_ahb_lite_slave', already declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hdl_qvip_ahb_lite_slave.sv:8 [compile index 1587] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hdl_qvip_ahb_lite_slave', already declared + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv + at line 8 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hdl_qvip_ahb_lite_slave.sv:8 [compile index 1590] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_qvip_ahb_lite_slave', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/hvl_qvip_ahb_lite_slave.sv:7 [compile index 1588] +*** Warning: DUPLICATE_MODULE: Duplicate module 'hvl_qvip_ahb_lite_slave', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/hvl_qvip_ahb_lite_slave.sv:7 [compile index 1591] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:20 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:21 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:50 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:51 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:86 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:87 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:129 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:130 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:167 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:168 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:206 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:207 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:245 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:246 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:275 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:276 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 305 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:305 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 306 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:306 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 341 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:341 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 342 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:342 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:380 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:381 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:416 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:417 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:455 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:456 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 485 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:485 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:486 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 515 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:515 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:516 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 545 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:545 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 546 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:546 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 575 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:575 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 576 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:576 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 605 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:605 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 606 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:606 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 635 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:635 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 636 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:636 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 665 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:665 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 666 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:666 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 695 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:695 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:696 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:725 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:726 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 755 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:755 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 756 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg_uvm.sv:756 [compile index 1810] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:20 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:21 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:52 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:53 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:105 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:106 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 179 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:179 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:180 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:230 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:231 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:260 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:261 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:290 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:291 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:320 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:321 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:350 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:351 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:380 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:381 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:412 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 413 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:413 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 445 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:445 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 446 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:446 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 475 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:475 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 476 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:476 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 507 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:507 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 508 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:508 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 552 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:552 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 553 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:553 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 608 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:608 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 609 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:609 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 650 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:650 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 651 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:651 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:680 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 681 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:681 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 722 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:722 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 723 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:723 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 778 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:778 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 779 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:779 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 832 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:832 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 833 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:833 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 888 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:888 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 889 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:889 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 930 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:930 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 931 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:931 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 960 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:960 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 961 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:961 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 990 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:990 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 991 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:991 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1020 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1020 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1021 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1021 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1050 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1050 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1051 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1051 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1080 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1080 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1081 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1081 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1110 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1111 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1140 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1141 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1170 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1171 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1200 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1201 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1230 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1231 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1260 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1261 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1290 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1291 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1291 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1320 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1321 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1350 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1351 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1380 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1381 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1410 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1410 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1411 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1411 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1440 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1440 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1441 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1441 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1470 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1471 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1471 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1500 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1501 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1501 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1530 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1530 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1531 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1531 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1560 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1560 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1561 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1590 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1590 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1591 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1591 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1620 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg_uvm.sv:1621 [compile index 1812] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:20 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:21 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:50 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:51 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:80 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:81 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:110 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:111 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 140 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:140 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:141 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:170 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:171 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:200 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:201 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:230 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:231 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:260 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg_uvm.sv:261 [compile index 1814] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:20 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:21 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:52 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:53 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:93 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:94 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:137 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:138 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:176 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:177 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:215 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 216 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:216 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 245 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:245 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 246 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:246 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 275 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:275 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:276 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:311 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 312 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:312 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:350 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:351 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:386 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 387 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:387 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 425 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:425 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 426 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:426 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:455 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:456 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 485 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:485 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:486 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 515 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:515 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 516 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:516 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 545 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:545 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 546 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:546 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 575 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:575 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 576 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:576 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 605 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:605 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 606 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:606 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 635 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:635 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 636 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:636 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 665 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:665 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 666 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:666 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 695 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:695 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:696 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:725 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg_uvm.sv:726 [compile index 1816] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:20 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:21 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:50 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:51 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:86 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:87 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:127 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:128 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:160 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:161 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 190 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:190 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 191 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:191 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 220 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:220 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 221 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:221 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 250 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:250 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 251 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:251 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:280 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:281 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 310 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:310 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 311 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:311 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 340 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:340 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 341 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:341 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:370 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:371 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 400 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:400 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 401 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:401 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 430 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:430 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 431 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:431 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:460 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 461 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:461 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 490 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:490 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:491 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:526 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 527 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:527 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 569 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:569 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 570 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:570 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:621 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 622 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:622 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 677 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:677 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 678 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:678 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 710 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:710 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 711 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:711 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 740 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:740 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:741 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 770 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:770 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 771 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:771 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 800 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:800 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 801 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:801 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 830 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:830 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:831 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 860 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:860 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 861 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:861 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 890 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:890 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 891 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:891 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 920 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:920 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 921 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:921 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 950 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:950 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 951 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:951 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 980 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:980 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 981 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:981 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1010 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:1010 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1011 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:1011 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:1040 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1041 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg_uvm.sv:1041 [compile index 1818] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:20 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:21 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:50 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:51 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:90 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:91 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:137 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:138 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:170 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:171 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:200 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:201 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 230 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:230 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 231 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:231 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 260 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:260 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 261 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:261 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 296 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:296 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 297 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:297 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 339 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:339 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 340 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:340 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:391 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 392 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:392 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 447 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:447 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:448 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:486 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 487 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:487 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:525 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:526 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 555 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:555 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 556 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:556 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 585 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:585 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 586 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:586 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:621 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 622 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:622 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 660 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:660 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 661 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:661 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:696 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 697 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:697 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:735 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 736 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:736 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 765 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:765 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 766 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:766 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 795 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:795 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 796 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:796 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 825 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:825 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 826 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:826 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 855 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:855 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 856 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:856 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 885 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:885 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 886 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:886 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 915 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:915 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 916 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:916 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 945 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:945 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 946 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:946 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 975 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:975 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 976 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:976 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1005 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:1005 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1006 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:1006 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1035 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:1035 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1036 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg_uvm.sv:1036 [compile index 1820] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:20 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:21 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:50 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:51 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:92 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:93 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:144 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:145 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:180 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:181 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 210 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:210 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:211 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 242 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:242 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 243 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:243 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:281 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:282 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:320 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 321 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:321 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 350 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:350 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:351 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 380 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:380 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 381 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:381 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:416 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 417 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:417 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:455 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 456 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:456 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 491 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:491 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 492 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:492 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 530 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:530 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 531 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:531 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 560 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:560 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 561 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:561 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 590 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:590 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 591 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:591 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:620 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:621 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 650 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:650 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 651 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:651 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:680 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 681 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:681 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 710 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:710 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 711 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:711 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 740 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:740 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:741 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 770 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:770 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 771 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:771 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 800 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:800 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 801 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:801 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 830 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:830 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg_uvm.sv:831 [compile index 1824] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:20 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:21 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:50 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:51 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:90 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:91 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:137 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:138 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:170 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:171 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 200 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:200 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 201 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:201 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:236 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 237 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:237 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 279 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:279 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 280 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:280 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 331 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:331 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 332 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:332 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 385 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:385 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 386 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:386 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 415 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:415 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 416 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:416 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 447 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:447 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 448 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:448 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:480 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:481 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:512 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 513 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:513 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 551 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:551 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 552 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:552 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 590 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:590 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 591 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:591 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:620 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:621 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 650 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:650 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 651 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:651 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 686 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:686 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 687 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:687 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:725 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:726 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 761 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:761 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 762 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:762 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 800 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:800 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 801 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:801 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 830 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:830 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 831 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:831 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 860 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:860 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 861 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:861 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 890 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:890 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 891 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:891 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 920 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:920 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 921 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:921 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 950 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:950 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 951 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:951 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 980 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:980 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 981 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:981 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1010 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1010 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1011 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1011 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1040 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1040 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1041 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1041 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1070 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1070 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1071 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1071 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample_values' extern function is not implemented + at line 1100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1100 [compile index 1826] +*** Error: MISSING_FUNCTION_IMPLEMENTATION: 'sample' extern function is not implemented + at line 1101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg_uvm.sv:1101 [compile index 1826] +*** Warning: DUPLICATE_MODULE: Duplicate module 'ahb_sif', already declared + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:18 [compile index 1833] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_constraints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:20 [compile index 1925] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_constraints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:19 [compile index 1932] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_constraints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_constraints.sv:20 [compile index 1964] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_coverpoints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_coverpoints.sv:19 [compile index 1927] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_coverpoints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_cover_points.sv:19 [compile index 1933] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_coverpoints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_coverpoints.sv:20 [compile index 1955] +*** Warning: DUPLICATE_MODULE: Duplicate module 'fv_coverpoints_m', already declared + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_cover_points.sv + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_coverpoints.sv:20 [compile index 1965] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/project_benches/HMAC/rtl/verilog/verilog_dut.v:1 [compile index 1931] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/rtl/verilog/verilog_dut.v:1 [compile index 1937] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/rtl/verilog/verilog_dut.v:1 [compile index 1938] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/rtl/verilog/verilog_dut.v:1 [compile index 1948] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/project_benches/SHA512/rtl/verilog/verilog_dut.v:1 [compile index 1970] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/rtl/verilog/verilog_dut.v:1 [compile index 1975] +*** Warning: DUPLICATE_MODULE: Duplicate module 'verilog_dut', already declared + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/project_benches/ECC/rtl/verilog/verilog_dut.v + at line 1 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/uvmf/uvmf_template_output/project_benches/mldsa/rtl/verilog/verilog_dut.v:1 [compile index 2015] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_clk_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_clk_gen.sv:7 [compile index 1946] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_clk_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_clk_gen.sv:7 [compile index 2008] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_clk_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_clk_gen.sv:7 [compile index 2010] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_reset_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_apb5_slave_dir/uvmf/default_reset_gen.sv:7 [compile index 1947] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_reset_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvm_tb/default_reset_gen.sv:7 [compile index 2009] +*** Warning: DUPLICATE_MODULE: Duplicate module 'default_reset_gen', already declared + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv + at line 7 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/uvmf/qvip_ahb_lite_slave_dir/uvmf/default_reset_gen.sv:7 [compile index 2011] +*** Warning: DUPLICATE_INSTANCE: Duplicate instance '.', already declared + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:121 [compile index 1963] +*** Warning: SIGNAL_NEVER_USED: Signal 'init_reg' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_gen_hash.sv:66 [compile index 223] +*** Warning: SIGNAL_NEVER_USED: Signal 'next_reg' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_gen_hash.sv:67 [compile index 223] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha512_err' is never read (connected to sub-instance output port) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_ctrl.sv:73 [compile index 243] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:59 [compile index 248] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:93 [compile index 248] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/tb/exp_mask_tb.sv:166 [compile index 248] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_n' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:75 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'cycleCnt' is never read (connected to sub-instance output port) + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:100 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'int_flag' is never read (connected to sub-instance output port) + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:101 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'cycleCnt_smpl_en' is never read (connected to sub-instance output port) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:102 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'ras_test_ctrl' is never read (connected to sub-instance output port) + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:103 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'jtag_tdo' is never read (connected to sub-instance output port) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:110 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'jtag_tdoEn' is never read (connected to sub-instance output port) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:111 [compile index 283] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'mbox_sram_cs_stub_inactive' is never written (connected to sub-instance input port) + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:115 [compile index 283] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'mbox_sram_we_stub_inactive' is never written (connected to sub-instance input port) + at line 116 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:116 [compile index 283] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'mbox_sram_addr_stub_inactive' is never written (connected to sub-instance input port) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:117 [compile index 283] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'mbox_sram_wdata_stub_inactive' is never written (connected to sub-instance input port) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:118 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'mbox_sram_rdata_stub_inactive' is never read (connected to sub-instance output port) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:119 [compile index 283] +*** Warning: SIGNAL_NEVER_USED: Signal 'etrng_req' is never used + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:125 [compile index 283] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_data' is never used + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:126 [compile index 283] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_valid' is never used + at line 127 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:127 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'security_state_stub_inactive' is never read (connected to sub-instance output port) + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/uvmf_caliptra_top/uvmf_template_output/project_benches/caliptra_top/tb/testbench/hdl_top.sv:130 [compile index 283] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/project_benches/kv/tb/testbench/hdl_top.sv:67 [compile index 1525] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/project_benches/pv/tb/testbench/hdl_top.sv:67 [compile index 1526] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_n' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/project_benches/soc_ifc/tb/testbench/hdl_top.sv:75 [compile index 1528] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_data' is never used + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:91 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_valid' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:92 [compile index 287] +*** Warning: PARAMETER_NEVER_USED: Parameter 'TOTAL_OBF_KEY_BITS' is never used + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:125 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_hburst' is never read (connected to sub-instance output port) + at line 142 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:142 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_hmastlock' is never read (connected to sub-instance output port) + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:143 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_hprot' is never read (connected to sub-instance output port) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:144 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_insn_ip' is never read (connected to sub-instance output port) + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:152 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_address_ip' is never read (connected to sub-instance output port) + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:153 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_valid_ip' is never read (connected to sub-instance output port) + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:154 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_exception_ip' is never read (connected to sub-instance output port) + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:155 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_ecause_ip' is never read (connected to sub-instance output port) + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:156 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_interrupt_ip' is never read (connected to sub-instance output port) + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:157 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'trace_rv_i_tval_ip' is never read (connected to sub-instance output port) + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:158 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_debug_mode_status' is never read (connected to sub-instance output port) + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:160 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_cpu_halt_ack' is never read (connected to sub-instance output port) + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:163 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_cpu_run_ack' is never read (connected to sub-instance output port) + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:165 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'mailbox_write' is never used + at line 167 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:167 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hrdata' is never used + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:168 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hwdata' is never used + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:169 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hready' is never used + at line 170 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:170 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hresp' is never used + at line 171 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:171 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_debug_halt_req' is never used + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:173 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_debug_run_req' is never used + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:174 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_reset_run_req' is never used + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:175 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'mpc_debug_halt_ack' is never read (connected to sub-instance output port) + at line 176 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:176 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'mpc_debug_run_ack' is never read (connected to sub-instance output port) + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:177 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'debug_brkpt_status' is never read (connected to sub-instance output port) + at line 178 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:178 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'cycleCnt' is never used + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:180 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'mailbox_data_val' is never used + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:181 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hready_out' is never used + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:183 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'commit_count' is never used + at line 184 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:184 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'wb_valid' is never used + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:186 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'wb_dest' is never used + at line 187 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:187 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'wb_data' is never used + at line 188 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:188 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_addr_ph' is never used + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:281 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_data_ph' is never used + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:281 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_sel' is never used + at line 281 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:281 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'ic_addr_ph' is never used + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:282 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'ic_data_ph' is never used + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:282 [compile index 287] +*** Warning: SIGNAL_NEVER_USED: Signal 'ic_sel' is never used + at line 282 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:282 [compile index 287] +*** Warning: SIGNAL_NEVER_READ: Signal 'aes_busy' is never read (connected to sub-instance output port) + at line 284 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:284 [compile index 287] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'cptra_noncore_rst_b' is not an input port + at line 624 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/caliptra_top.sv:624 [compile index 287] +*** Warning: PARAMETER_NEVER_USED: Parameter 'SEED_NUM_DWORDS' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv:81 [compile index 292] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MSG_NUM_DWORDS' is never used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv:82 [compile index 292] +*** Warning: PARAMETER_NEVER_USED: Parameter 'PRIVKEY_REG_RHO_NUM_DWORDS' is never used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv:85 [compile index 292] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rdc_clk_cg' is not an input port + at line 401 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv:401 [compile index 292] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rdc_clk_cg' is not an input port + at line 412 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/asserts/caliptra_top_sva.sv:412 [compile index 292] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_wr_resp' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_ctrl.sv:58 [compile index 296] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 185 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_status_pkg/src/soc_ifc_status_driver_bfm.sv:185 [compile index 301] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:27 [compile index 322] +*** Warning: SIGNAL_NEVER_USED: Signal 'zeroize' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_sec.sv:28 [compile index 322] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:27 [compile index 324] +*** Warning: SIGNAL_NEVER_USED: Signal 'zeroize' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_seq_prim.sv:28 [compile index 324] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:69 [compile index 326] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:86 [compile index 326] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:96 [compile index 326] +*** Warning: SIGNAL_NEVER_READ: Signal 'hrdata_o_tb' is never read (connected to sub-instance output port) + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:98 [compile index 326] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/tb/mldsa_top_tb.sv:147 [compile index 326] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_absorbed' is never read (connected to sub-instance output port) + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:69 [compile index 358] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_block_processed' is never read (connected to sub-instance output port) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:73 [compile index 358] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_err' is never read (connected to sub-instance output port) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:76 [compile index 358] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_state_error' is never read (connected to sub-instance output port) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:85 [compile index 358] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_count_error' is never read (connected to sub-instance output port) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:86 [compile index 358] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_rst_storage_err' is never read (connected to sub-instance output port) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_sampler_top/rtl/mldsa_sampler_top.sv:87 [compile index 358] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_ctrl_pkg/src/cptra_ctrl_driver_bfm.sv:161 [compile index 369] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_out_pkg/src/SHA512_out_driver_bfm.sv:163 [compile index 372] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:189 [compile index 375] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_i' is not an input port + at line 368 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:368 [compile index 375] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 397 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:397 [compile index 375] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/uvmf_sha512/uvmf_template_output/verification_ip/interface_packages/SHA512_in_pkg/src/SHA512_in_driver_bfm.sv:409 [compile index 375] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_write_pkg/src/pv_write_driver_bfm.sv:163 [compile index 378] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_rst_pkg/src/pv_rst_driver_bfm.sv:165 [compile index 381] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_write_pkg/src/kv_write_driver_bfm.sv:160 [compile index 384] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_rst_pkg/src/kv_rst_driver_bfm.sv:173 [compile index 387] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_out_pkg/src/HMAC_out_driver_bfm.sv:163 [compile index 390] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:197 [compile index 393] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 458 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:458 [compile index 393] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_i' is not an input port + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/uvmf_2022/uvmf_template_output/verification_ip/interface_packages/HMAC_in_pkg/src/HMAC_in_driver_bfm.sv:470 [compile index 393] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_n_i' is not an input port + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_out_pkg/src/ECC_out_driver_bfm.sv:169 [compile index 396] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_n_i' is not an input port + at line 195 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:195 [compile index 399] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_n_i' is not an input port + at line 455 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:455 [compile index 399] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'rst_n_i' is not an input port + at line 466 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:466 [compile index 399] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_i' is not an input port + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/uvmf_ecc/uvmf_template_output/verification_ip/interface_packages/ECC_in_pkg/src/ECC_in_driver_bfm.sv:478 [compile index 399] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_req_chk' is never read + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv:54 [compile index 408] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'req_i' to 'valid_o' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv:62 [compile index 408] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'data_i' to 'data_o' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_arbiter_ppc.sv:63 [compile index 408] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv:20 [compile index 434] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv:21 [compile index 434] +*** Warning: SIGNAL_NEVER_READ: Signal 'sum_value_exp' is never read + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sum_tree.sv:114 [compile index 434] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:26 [compile index 438] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:27 [compile index 438] +*** Warning: SIGNAL_NEVER_READ: Signal 'max_value_exp' is never read + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:136 [compile index 438] +*** Warning: SIGNAL_NEVER_READ: Signal 'max_idx_exp' is never read + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_max_tree.sv:137 [compile index 438] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wvalid_i' to 'rvalid_o' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:44 [compile index 443] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wdata_i' to 'rdata_o' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:45 [compile index 443] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'rready_i' to 'wready_o' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:48 [compile index 443] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'rready_i' to 'full_o' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:49 [compile index 443] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'wready_o' is not recommended + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:92 [compile index 443] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'rvalid_o' is not recommended + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_fifo_sync.sv:93 [compile index 443] +*** Warning: SIGNAL_NEVER_READ: Signal 'fpv_err_present' is never read + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_count.sv:137 [compile index 445] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_count.sv:256 [compile index 445] +*** Warning: SIGNAL_NEVER_READ: Signal 'keccak_start' is never read + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3.sv:128 [compile index 447] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_err_o' is never read + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_flop.sv:30 [compile index 453] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_sparse_fsm_flop.sv:64 [compile index 453] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_hint_rd_req_int' is never used + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/rtl/decompose.sv:83 [compile index 459] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv:37 [compile index 461] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv:38 [compile index 461] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_onehot_check.sv:149 [compile index 461] +*** Warning: SIGNAL_NEVER_USED: Signal 'update_serial_buffer' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv:67 [compile index 465] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst_serial_buffer' is never read + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv:71 [compile index 465] +*** Warning: SIGNAL_NEVER_USED: Signal 'keccak_out' is never used + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv:111 [compile index 465] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'rst_n' is not an input port + at line 372 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_keccak_round.sv:372 [compile index 465] +*** Warning: SIGNAL_NEVER_USED: Signal 'absorb_valid' is never used + at line 844 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3pad.sv:844 [compile index 468] +*** Warning: SIGNAL_NEVER_USED: Signal 'done_valid' is never used + at line 844 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/rtl/abr_sha3pad.sv:844 [compile index 468] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/uvmf_pv/uvmf_template_output/verification_ip/interface_packages/pv_read_pkg/src/pv_read_driver_bfm.sv:164 [compile index 474] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/uvmf_kv/uvmf_template_output/verification_ip/interface_packages/kv_read_pkg/src/kv_read_driver_bfm.sv:163 [compile index 477] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/mbox_sram_pkg/src/mbox_sram_driver_bfm.sv:162 [compile index 480] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_wr.sv:35 [compile index 483] +*** Warning: SIGNAL_NEVER_USED: Signal 'ex' is never used + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_wr.sv:93 [compile index 483] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_req_chk' is never read + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv:54 [compile index 493] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'req_i' to 'valid_o' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv:62 [compile index 493] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'data_i' to 'data_o' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_arbiter_ppc.sv:63 [compile index 493] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MBOX_DATA_AND_ECC_W' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:32 [compile index 499] +*** Warning: SIGNAL_NEVER_READ: Signal 'tap_mbox_data_avail' is never read + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:177 [compile index 499] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_req_stall_wr_nc' is never read (connected to sub-instance output port) + at line 667 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:667 [compile index 499] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_req_stall_rd_nc' is never read (connected to sub-instance output port) + at line 668 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:668 [compile index 499] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_rd_ack_nc' is never read (connected to sub-instance output port) + at line 669 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:669 [compile index 499] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_wr_ack_nc' is never read (connected to sub-instance output port) + at line 670 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox.sv:670 [compile index 499] +*** Warning: SIGNAL_NEVER_USED: Signal 'ss_debug_intent' is never used + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:123 [compile index 501] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_req_stall_wr_nc' is never read (connected to sub-instance output port) + at line 935 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:935 [compile index 501] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_req_stall_rd_nc' is never read (connected to sub-instance output port) + at line 936 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:936 [compile index 501] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_rd_ack_nc' is never read (connected to sub-instance output port) + at line 937 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:937 [compile index 501] +*** Warning: SIGNAL_NEVER_READ: Signal 's_cpuif_wr_ack_nc' is never read (connected to sub-instance output port) + at line 938 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_top.sv:938 [compile index 501] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'ecc_test_vector_file' is never written + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:34 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:73 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REG_SIZE' is never used + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:78 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'PRIME' is never used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:79 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADD_NUM_ADDS' is never used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:80 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADD_BASE_SZ' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:81 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:84 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:86 [compile index 505] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:110 [compile index 505] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:111 [compile index 505] +*** Warning: SIGNAL_NEVER_READ: Signal 'kv_read_tb' is never read (connected to sub-instance output port) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:114 [compile index 505] +*** Warning: SIGNAL_NEVER_READ: Signal 'kv_write_tb' is never read (connected to sub-instance output port) + at line 115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:115 [compile index 505] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_intr_tb' is never read (connected to sub-instance output port) + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/tb/ecc_top_tb.sv:121 [compile index 505] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv:32 [compile index 507] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv:41 [compile index 507] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv:43 [compile index 507] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv:66 [compile index 507] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_random_test.sv:70 [compile index 507] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:50 [compile index 509] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:52 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:76 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:77 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'pv_read_tb' is never read (connected to sub-instance output port) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:80 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'pv_write_tb' is never read (connected to sub-instance output port) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:81 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'pcr_signing_hash_tb' is never read (connected to sub-instance output port) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:84 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_intr_tb' is never read (connected to sub-instance output port) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:86 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_intr_tb' is never read (connected to sub-instance output port) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/tb/sha512_ctrl_32bit_tb.sv:87 [compile index 509] +*** Warning: SIGNAL_NEVER_READ: Signal 'jtag_tdoEn' is never read (connected to sub-instance output port) + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv:70 [compile index 511] +*** Warning: SIGNAL_NEVER_USED: Signal 'etrng_req' is never used + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv:110 [compile index 511] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_data' is never used + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv:111 [compile index 511] +*** Warning: SIGNAL_NEVER_USED: Signal 'itrng_valid' is never used + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb.sv:112 [compile index 511] +*** Warning: SIGNAL_NEVER_READ: Signal 'inject_hmac_key' is never read + at line 156 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:156 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'inject_ecc_seed' is never read + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:157 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'inject_ecc_privkey' is never read + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:158 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'inject_mldsa_seed' is never read + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:159 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'inject_random_data' is never read + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:160 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'check_pcr_signing' is never read + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:161 [compile index 516] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'ecc_test_vector' is never written + at line 211 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:211 [compile index 516] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'doe_test_vector' is never written + at line 225 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:225 [compile index 516] +*** Warning: SIGNAL_NEVER_USED: Signal 'sm' is never used + at line 322 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:322 [compile index 516] +*** Warning: SIGNAL_NEVER_USED: Signal 'i' is never used + at line 322 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:322 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'inject_ecc_seed' is not reset + at line 442 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:442 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'inject_ecc_privkey' is not reset + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:454 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'inject_mldsa_seed' is not reset + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:462 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'inject_hmac_key' is not reset + at line 481 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:481 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'inject_random_data' is not reset + at line 514 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:514 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'slot_id' is not an input port + at line 515 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:515 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'debug_locked' is not reset + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:601 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'UVM_TB' is not an input port + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:602 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'cycleCnt_ff' is not reset + at line 606 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:606 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'assert_ss_tran' is not reset + at line 607 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:607 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_signing' is not an input port + at line 726 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:726 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_signing' is not an input port + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:741 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_signing' is not an input port + at line 753 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:753 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_verify' is not an input port + at line 771 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:771 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_verify' is not an input port + at line 782 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:782 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_verify' is not an input port + at line 795 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:795 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_verify' is not an input port + at line 805 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:805 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'mldsa_verify' is not an input port + at line 816 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:816 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'value' is not an input port + at line 840 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:840 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'inject_zeroize_to_hmac' is not an input port + at line 943 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:943 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'set_wdt_timer1_period' is not an input port + at line 1361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1361 [compile index 516] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'UVM_TB' is not an input port + at line 1434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1434 [compile index 516] +*** Warning: SIGNAL_NOT_RESET: Signal 'cycleCntKillReq' is not reset + at line 1462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_services.sv:1462 [compile index 516] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_obf_key_uds' is never read + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:68 [compile index 521] +*** Warning: SIGNAL_NEVER_READ: Signal 'wresp' is never read + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:74 [compile index 521] +*** Warning: SIGNAL_NEVER_READ: Signal 'rresp' is never read + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:74 [compile index 521] +*** Warning: SIGNAL_NEVER_USED: Signal 'wdata' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:75 [compile index 521] +*** Warning: SIGNAL_NEVER_READ: Signal 'rdata_array' is never read + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:77 [compile index 521] +*** Warning: SIGNAL_NEVER_READ: Signal 'rresp_array' is never read + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:78 [compile index 521] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'cptra_obf_key_tb' to 'cptra_obf_key' + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_top_tb_soc_bfm.sv:149 [compile index 521] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_rd.sv:35 [compile index 598] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BW' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_arb.sv:29 [compile index 602] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_arb.sv:32 [compile index 602] +*** Warning: PARAMETER_NEVER_USED: Parameter 'C_LAT' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub_arb.sv:34 [compile index 602] +*** Warning: PARAMETER_NEVER_USED: Parameter 'UW' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_wr.sv:26 [compile index 604] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_wr.sv:28 [compile index 604] +*** Warning: PARAMETER_NEVER_USED: Parameter 'UW' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_rd.sv:26 [compile index 606] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_mgr_rd.sv:28 [compile index 606] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_clk' is never read + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sel_buf_chk.sv:29 [compile index 608] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_rst' is never read + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sel_buf_chk.sv:30 [compile index 608] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read + at line 1930 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_top.sv:1930 [compile index 610] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_be' is never read + at line 1931 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_reg_top.sv:1931 [compile index 610] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_prd' is never read + at line 906 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_dom.sv:906 [compile index 614] +*** Warning: SIGNAL_NEVER_USED: Signal 'mb_error' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:45 [compile index 620] +*** Warning: SIGNAL_NEVER_READ: Signal 'reg_rd_ack_nc' is never read (connected to sub-instance output port) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:114 [compile index 620] +*** Warning: SIGNAL_NEVER_READ: Signal 'reg_wr_ack_nc' is never read (connected to sub-instance output port) + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:114 [compile index 620] +*** Warning: SIGNAL_NEVER_READ: Signal 'rd_route' is never read + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:128 [compile index 620] +*** Warning: SIGNAL_NEVER_READ: Signal 'wr_route' is never read + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:129 [compile index 620] +*** Warning: SIGNAL_NEVER_USED: Signal 'wr_req_stall' is never used + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_ctrl.sv:145 [compile index 620] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_prng_err' is never read + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_masking.sv:128 [compile index 622] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'en_i' to 'out_req_o' + at line 141 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox.sv:141 [compile index 626] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_cfg_valid' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_key_expand.sv:62 [compile index 628] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_cfg_valid' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_cipher_control_fsm.sv:83 [compile index 630] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_data_out_q' is never read + at line 209 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv:209 [compile index 632] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_alert_signals' is never read + at line 1082 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv:1082 [compile index 632] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_idle' is never read + at line 1086 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv:1086 [compile index 632] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_state_done_transposed' is never read + at line 1115 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_core.sv:1115 [compile index 632] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_edn_fips' is never read + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes.sv:82 [compile index 634] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_share_perm_test' is never read + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_prng_clearing.sv:153 [compile index 636] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_req_chk' is never read + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack.sv:54 [compile index 658] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_seed' is never read + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_trivium.sv:113 [compile index 668] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv:20 [compile index 680] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_ni' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv:21 [compile index 680] +*** Warning: SIGNAL_NEVER_READ: Signal 'sum_value_exp' is never read + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sum_tree.sv:114 [compile index 680] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:26 [compile index 684] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_ni' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:27 [compile index 684] +*** Warning: SIGNAL_NEVER_READ: Signal 'max_value_exp' is never read + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:136 [compile index 684] +*** Warning: SIGNAL_NEVER_READ: Signal 'max_idx_exp' is never read + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_max_tree.sv:137 [compile index 684] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wvalid_i' to 'rvalid_o' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:44 [compile index 688] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wdata_i' to 'rdata_o' + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:45 [compile index 688] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'rready_i' to 'wready_o' + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:48 [compile index 688] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'rready_i' to 'full_o' + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:49 [compile index 688] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'wready_o' is not recommended + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:92 [compile index 688] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'rvalid_o' is not recommended + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_fifo_sync.sv:93 [compile index 688] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'data_i' to 'data_o' + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sync_reqack_data.sv:93 [compile index 690] +*** Warning: SIGNAL_NEVER_READ: Signal 'fpv_err_present' is never read + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_count.sv:137 [compile index 692] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_count.sv:256 [compile index 692] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read + at line 1755 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_top.sv:1755 [compile index 694] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_be' is never read + at line 1756 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_reg_top.sv:1756 [compile index 694] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read + at line 2207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_top.sv:2207 [compile index 698] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_be' is never read + at line 2208 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_reg_top.sv:2208 [compile index 698] +*** Warning: SIGNAL_NEVER_USED: Signal 'fw_ov_rd_fifo_overflow_we' is never used + at line 341 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv:341 [compile index 700] +*** Warning: SIGNAL_NEVER_USED: Signal 'fw_ov_rd_fifo_overflow_wd' is never used + at line 343 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv:343 [compile index 700] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read + at line 3907 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv:3907 [compile index 700] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_be' is never read + at line 3908 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_reg_top.sv:3908 [compile index 700] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read + at line 2076 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_top.sv:2076 [compile index 702] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_be' is never read + at line 2077 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_reg_top.sv:2077 [compile index 702] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_tl' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_gen.sv:52 [compile index 704] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_tl' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_rsp_intg_chk.sv:49 [compile index 706] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 9 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:9 [compile index 708] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_ni' is never used + at line 10 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:10 [compile index 708] +*** Warning: PARAMETER_NEVER_USED: Parameter 'IW' is never used + at line 17 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:17 [compile index 708] +*** Warning: PARAMETER_NEVER_USED: Parameter 'SZW' is never used + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_err.sv:18 [compile index 708] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_cmd_payload' is never read (connected to sub-instance output port) + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_gen.sv:21 [compile index 710] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_tl' is never read + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_gen.sv:53 [compile index 710] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_tl' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_cmd_intg_chk.sv:48 [compile index 712] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_err_o' is never read + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv:30 [compile index 714] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_sparse_fsm_flop.sv:64 [compile index 714] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'addr_i' to 'int_addr_o' + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:117 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'write_i' to 'int_write_o' + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:118 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wdata_i' to 'int_wdata_o' + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:119 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wstrb_i' to 'int_wstrb_o' + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:120 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'size_i' to 'int_size_o' + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:121 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'last_i' to 'int_last_o' + at line 122 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:122 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'user_i' to 'int_user_o' + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:123 [compile index 726] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'id_i' to 'int_id_o' + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_tlul/rtl/caliptra_tlul_adapter_vh.sv:124 [compile index 726] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_lc_sig' is never read + at line 423 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_2share.sv:423 [compile index 734] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv:37 [compile index 736] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_ni' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv:38 [compile index 736] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'unused_assert_connected' is never written + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_onehot_check.sv:149 [compile index 736] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_mubi' is never read + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_shadow.sv:193 [compile index 740] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'rst_n' is not an input port + at line 384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/keccak_round.sv:384 [compile index 742] +*** Warning: SIGNAL_NEVER_USED: Signal 'absorb_valid' is never used + at line 778 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/kmac/rtl/sha3pad.sv:778 [compile index 744] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_nonce_error' is never used + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_ctrl.sv:173 [compile index 750] +*** Warning: SIGNAL_NEVER_READ: Signal 'keygen_process' is never read + at line 193 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_ctrl.sv:193 [compile index 750] +*** Warning: SIGNAL_NEVER_READ: Signal 'sharedkey_process' is never read + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_dsa_ctrl.sv:196 [compile index 750] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:32 [compile index 752] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:46 [compile index 752] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:48 [compile index 752] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:72 [compile index 752] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/tb/hmac_ctrl_tb.sv:73 [compile index 752] +*** Warning: SIGNAL_NEVER_USED: Signal 'msg_data_nxt' is never used + at line 276 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_ctrl.sv:276 [compile index 756] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/soc_ifc_ctrl_pkg/src/soc_ifc_ctrl_driver_bfm.sv:174 [compile index 877] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'dummy_i' is not an input port + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/uvmf_soc_ifc/uvmf_template_output/verification_ip/interface_packages/cptra_status_pkg/src/cptra_status_driver_bfm.sv:205 [compile index 886] +*** Warning: SIGNAL_NEVER_USED: Signal 'cmd_reg' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv:81 [compile index 924] +*** Warning: SIGNAL_NEVER_READ: Signal 'ntt_done' is never read (connected to sub-instance output port) + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv:120 [compile index 924] +*** Warning: SIGNAL_NEVER_USED: Signal 'alert_tx_o' is never used + at line 1204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv:1204 [compile index 924] +*** Warning: SIGNAL_NEVER_READ: Signal 'clk_i' is never read + at line 1205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_top.sv:1205 [compile index 924] +*** Warning: SIGNAL_NEVER_USED: Signal 'debug_state_e' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:45 [compile index 1125] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'soc_ifc_testname' is never written + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:48 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'tphase' is never read + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:53 [compile index 1125] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:59 [compile index 1125] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DCCM_SADR' is never used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:65 [compile index 1125] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MBOX_DLEN_VAL' is never used + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:76 [compile index 1125] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:90 [compile index 1125] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:92 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'temp_ctr' is never read + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:103 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'paddr_i_tb' is never read + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:109 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'psel_i_tb' is never read + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:110 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'penable_i_tb' is never read + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:111 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwrite_i_tb' is never read + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:112 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwdata_i_tb' is never read + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:113 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'pauser_i_tb' is never read + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:114 [compile index 1125] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'pready_o_tb' is never written + at line 124 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:124 [compile index 1125] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'prdata_o_tb' is never written + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:125 [compile index 1125] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'pslverr_o_tb' is never written + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:126 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:128 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_uc_rst_b_tb' is never read (connected to sub-instance output port) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:133 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_obf_key_reg' is never read (connected to sub-instance output port) + at line 144 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:144 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'obf_field_entropy' is never read (connected to sub-instance output port) + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:145 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'obf_uds_seed' is never read (connected to sub-instance output port) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:146 [compile index 1125] +*** Warning: SIGNAL_NEVER_USED: Signal 'tmp_ss' is never used + at line 165 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:165 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'cycle_ctr_since_rst' is never read + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:173 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'reg_sva_off' is never read + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:175 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'prdata_o_latched' is never read + at line 177 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:177 [compile index 1125] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:180 [compile index 1125] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'ready_for_fw_push' is never written + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:219 [compile index 1125] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:370 [compile index 1125] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'cptra_pwrgood_tb' is not an input port + at line 371 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:371 [compile index 1125] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:377 [compile index 1125] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'cptra_rst_b_tb' is not an input port + at line 378 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:378 [compile index 1125] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 390 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:390 [compile index 1125] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'cptra_rst_b_tb' is not an input port + at line 391 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:391 [compile index 1125] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 418 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_ifc_tb.sv:418 [compile index 1125] +*** Warning: SIGNAL_NEVER_READ: Signal 'global_intr_en_r' is never read + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:18 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_intr_en_r' is never read + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:19 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_intr_en_r' is never read + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:20 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_global_intr_r' is never read + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:22 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_internal_intr_count_r' is never read + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:27 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_inv_dev_intr_count_r' is never read + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:28 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_cmd_fail_intr_count_r' is never read + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:29 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_bad_fuse_intr_count_r' is never read + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:30 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_iccm_blocked_intr_count_r' is never read + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:31 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_mbox_ecc_unc_intr_count_r' is never read + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:32 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_wdt_timer1_timeout_intr_count_r' is never read + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:33 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_wdt_timer2_timeout_intr_count_r' is never read + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:34 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_cmd_avail_intr_count_r' is never read + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:35 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_mbox_ecc_cor_intr_count_r' is never read + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:36 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_debug_locked_intr_count_r' is never read + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:37 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_soc_req_lock_intr_count_r' is never read + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:38 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'notif_gen_in_toggle_intr_count_r' is never read + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/tb/soc_reg_intrblk_test.svh:39 [compile index 1135] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_hmastlock' is never read (connected to sub-instance output port) + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:34 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_haddr' is never read (connected to sub-instance output port) + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:55 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hburst' is never read (connected to sub-instance output port) + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:56 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hmastlock' is never read (connected to sub-instance output port) + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:57 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hprot' is never read (connected to sub-instance output port) + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:58 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hsize' is never read (connected to sub-instance output port) + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:59 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_htrans' is never read (connected to sub-instance output port) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:60 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hwrite' is never read (connected to sub-instance output port) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:61 [compile index 1171] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sb_hrdata' is never written (connected to sub-instance input port) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:63 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_hwdata' is never read (connected to sub-instance output port) + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:64 [compile index 1171] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sb_hready' is never written (connected to sub-instance input port) + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:65 [compile index 1171] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sb_hresp' is never written (connected to sub-instance input port) + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:66 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_debug_mode_status' is never read (connected to sub-instance output port) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:76 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'jtag_tdo' is never read (connected to sub-instance output port) + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:79 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_cpu_halt_ack' is never read (connected to sub-instance output port) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:80 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_cpu_halt_status' is never read (connected to sub-instance output port) + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:81 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'o_cpu_run_ack' is never read (connected to sub-instance output port) + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:82 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_hrdata' is never read (connected to sub-instance output port) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:85 [compile index 1171] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hwdata' is never used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:86 [compile index 1171] +*** Warning: SIGNAL_NEVER_USED: Signal 'dma_hready' is never used + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:87 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_hresp' is never read (connected to sub-instance output port) + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:88 [compile index 1171] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_debug_halt_req' is never used + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:90 [compile index 1171] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_debug_run_req' is never used + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:91 [compile index 1171] +*** Warning: SIGNAL_NEVER_USED: Signal 'mpc_reset_run_req' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:92 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'mpc_debug_halt_ack' is never read (connected to sub-instance output port) + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:93 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'mpc_debug_run_ack' is never read (connected to sub-instance output port) + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:94 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'debug_brkpt_status' is never read (connected to sub-instance output port) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:95 [compile index 1171] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'core_clk' is not an input port + at line 324 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:324 [compile index 1171] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'core_clk' is not an input port + at line 351 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:351 [compile index 1171] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'trace_rv_i_valid_ip' is not an input port + at line 355 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:355 [compile index 1171] +*** Warning: SIGNAL_NOT_RESET: Signal 'gpr' is not reset + at line 370 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/el2_veer_wrapper_tb.sv:370 [compile index 1171] +*** Warning: SIGNAL_NEVER_READ: Signal 'dv' is never read (connected to sub-instance output port) + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:72 [compile index 1230] +*** Warning: SIGNAL_NEVER_READ: Signal 'addr' is never read (connected to sub-instance output port) + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:73 [compile index 1230] +*** Warning: SIGNAL_NEVER_READ: Signal 'wdata' is never read (connected to sub-instance output port) + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:74 [compile index 1230] +*** Warning: SIGNAL_NEVER_READ: Signal 'wstrb' is never read (connected to sub-instance output port) + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:75 [compile index 1230] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'rdata' is never written (connected to sub-instance input port) + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:76 [compile index 1230] +*** Warning: SIGNAL_NEVER_READ: Signal 'last' is never read (connected to sub-instance output port) + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:77 [compile index 1230] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'hld' is never written (connected to sub-instance input port) + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:78 [compile index 1230] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'err' is never written + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:79 [compile index 1230] +*** Warning: SIGNAL_NEVER_READ: Signal 'user' is never read (connected to sub-instance output port) + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/tb/axi_sub_tb.sv:80 [compile index 1230] +*** Warning: SIGNAL_NEVER_USED: Signal 'init_new' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac.sv:66 [compile index 1340] +*** Warning: SIGNAL_NEVER_USED: Signal 'next_new' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac.sv:69 [compile index 1340] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_flag_edge' is never read + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac.sv:136 [compile index 1340] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sha3_testname' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:51 [compile index 1422] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:73 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'clk_i' is never read + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:87 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst_b' is never read + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:89 [compile index 1422] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:90 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_absorbed' is never read (connected to sub-instance output port) + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:102 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_squeezing' is never read (connected to sub-instance output port) + at line 104 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:104 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_block_processed' is never read (connected to sub-instance output port) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:106 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_fsm' is never read (connected to sub-instance output port) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:108 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_err' is never read (connected to sub-instance output port) + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:109 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_state_error' is never read (connected to sub-instance output port) + at line 117 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:117 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_count_error' is never read (connected to sub-instance output port) + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:118 [compile index 1422] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha3_rst_storage_err' is never read (connected to sub-instance output port) + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:119 [compile index 1422] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'exp_result' is never written + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:130 [compile index 1422] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'exp_strength' is never written + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:131 [compile index 1422] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'exp_mode' is never written + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:131 [compile index 1422] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 207 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:207 [compile index 1422] +*** Warning: SIGNAL_NEVER_USED: Signal 'alert_tx_o' is never used + at line 573 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_sha3/tb/abr_sha3_tb.sv:573 [compile index 1422] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:29 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:59 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:80 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'clken' is never used + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:93 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'rawclk' is never used + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:94 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:101 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'clken' is never used + at line 118 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:118 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'rawclk' is never used + at line 119 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:119 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 126 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:126 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'clken' is never used + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:145 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'rawclk' is never used + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:146 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'din_new' is never used + at line 152 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:152 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 154 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:154 [compile index 1431] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'din' to 'dout' + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:183 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'l1clk' is never used + at line 409 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:409 [compile index 1431] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'single_ecc_error' is not recommended + at line 714 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:714 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 803 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:803 [compile index 1431] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 826 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/beh_lib.sv:826 [compile index 1431] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_concat_outblk_shifted_value' is never read + at line 500 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_ctr_drbg_upd.sv:500 [compile index 1432] +*** Warning: SIGNAL_NEVER_USED: Signal 'error_intr' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_wrapper.sv:57 [compile index 1441] +*** Warning: SIGNAL_NEVER_USED: Signal 'notif_intr' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_wrapper.sv:58 [compile index 1441] +*** Warning: SIGNAL_NEVER_USED: Signal 'debugUnlock_or_scan_mode_switch' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_wrapper.sv:59 [compile index 1441] +*** Warning: PARAMETER_NEVER_USED: Parameter 'TAGWIDTH' is never used + at line 223 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:223 [compile index 1445] +*** Warning: PARAMETER_NEVER_USED: Parameter 'IDWIDTH' is never used + at line 224 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:224 [compile index 1445] +*** Warning: SIGNAL_NEVER_USED: Signal 'ifc_fetch_req_f_raw' is never used + at line 267 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu.sv:267 [compile index 1445] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'tx_valid_i' to 'wr_ready_o' + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_shift_register.sv:90 [compile index 1452] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wr_en_i' to 'tx_ready_o' + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_shift_register.sv:91 [compile index 1452] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'last_write_i' to 'tx_flush_o' + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/rtl/spi_host_shift_register.sv:92 [compile index 1452] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_clp_reg.sv:6 [compile index 1454] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_reg.sv:6 [compile index 1455] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/datavault/rtl/dv_reg.sv:6 [compile index 1457] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/rtl/doe_reg.sv:6 [compile index 1458] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_reg.sv:6 [compile index 1459] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_reg.sv:6 [compile index 1465] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_reg.sv:6 [compile index 1476] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/pcrvault/rtl/pv_reg.sv:6 [compile index 1482] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_reg.sv:6 [compile index 1488] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/rtl/sha512_reg.sv:6 [compile index 1489] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/mbox_csr.sv:6 [compile index 1490] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_csr.sv:6 [compile index 1491] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/soc_ifc_reg.sv:6 [compile index 1492] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/mldsa_top/rtl/mldsa_reg.sv:6 [compile index 1502] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:40 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_STATE' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:45 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_ENABLE' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:46 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_TEST' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:47 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_TEST' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:48 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REGWEN' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:49 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INT_STATE_NUM' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:55 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INT_STATE_VAL' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:56 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_HW_EXC_STS' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:57 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_RECOV_ALERT_STS' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:58 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ERR_CODE' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:59 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ERR_CODE_TEST' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:60 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MAIN_SM_STATE' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:61 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:64 [compile index 1522] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:66 [compile index 1522] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:92 [compile index 1522] +*** Warning: SIGNAL_NEVER_USED: Signal 'digest_data' is never used + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:97 [compile index 1522] +*** Warning: SIGNAL_NEVER_READ: Signal 'csrng_cs_aes_halt_rsp' is never read (connected to sub-instance output port) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:105 [compile index 1522] +*** Warning: SIGNAL_NEVER_READ: Signal 'entropy_src_rng_rsp' is never read + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:106 [compile index 1522] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:169 [compile index 1522] +*** Warning: SIGNAL_NEVER_USED: Signal 'test_vector_q' is never used + at line 320 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/tb/csrng_tb.sv:320 [compile index 1522] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_err_code_test_bit' is never read + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv:357 [compile index 1523] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_reg2hw_genbits' is never read + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv:358 [compile index 1523] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_int_state_val' is never read + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/csrng/rtl/csrng_core.sv:359 [compile index 1523] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_err_code_test_bit' is never read + at line 451 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv:451 [compile index 1524] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_sha3_state' is never read + at line 452 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv:452 [compile index 1524] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_entropy_data' is never read + at line 453 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv:453 [compile index 1524] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_fw_ov_rd_data' is never read + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/rtl/entropy_src_core.sv:454 [compile index 1524] +*** Warning: SIGNAL_NEVER_USED: Signal 'cptra_pwrgood' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:32 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_read' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:39 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_write' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:40 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_rd_resp' is never used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:41 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_wr_resp' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:42 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'pcr_signing_data' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:45 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'error_intr' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:48 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'notif_intr' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_dsa_ctrl_constraints.sv:49 [compile index 1529] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_read' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:34 [compile index 1530] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_write' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:35 [compile index 1530] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_rd_resp' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:36 [compile index 1530] +*** Warning: SIGNAL_NEVER_USED: Signal 'kv_wr_resp' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:37 [compile index 1530] +*** Warning: SIGNAL_NEVER_USED: Signal 'fv_error' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:69 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_const_a' is never written + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:330 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_const_b' is never written + at line 330 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:330 [compile index 1530] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk, reset_n) + at line 338 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:338 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_keygen_a' is never written + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:454 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_keygen_b' is never written + at line 454 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:454 [compile index 1530] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk, reset_n) + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:462 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_sign_a' is never written + at line 536 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:536 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_sign_b' is never written + at line 536 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:536 [compile index 1530] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk, reset_n) + at line 543 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:543 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_verify_a' is never written + at line 614 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:614 [compile index 1530] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_verify_b' is never written + at line 614 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:614 [compile index 1530] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk, reset_n) + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_dsa_ctrl.sv:621 [compile index 1530] +*** Warning: SIGNAL_NEVER_READ: Signal 'mailbox_address_err' is never read + at line 421 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/soc_ifc/rtl/sha512_acc_top.sv:421 [compile index 1533] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:37 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_STATE' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:42 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_ENABLE' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:43 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_TEST' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:44 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_TEST' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:45 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ME_REGWEN' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:46 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_SW_REGUPD' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:47 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REGWEN' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:48 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REV' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:49 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ENTROPY_CONTROL' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:52 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ENTROPY_DATA' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:53 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_HEALTH_TEST_WINDOWS' is never used + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:54 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNT_THRESHOLDS' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:55 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNTS_THRESHOLDS' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:56 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_HI_THRESHOLDS' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:57 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_LO_THRESHOLDS' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:58 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_BUCKET_THRESHOLDS' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:59 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_HI_THRESHOLDS' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:60 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_LO_THRESHOLDS' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:61 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_HI_THRESHOLDS' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:62 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_LO_THRESHOLDS' is never used + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:63 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNT_HI_WATERMARKS' is never used + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:64 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNTS_HI_WATERMARKS' is never used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:65 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_HI_WATERMARKS' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:66 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_LO_WATERMARKS' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:67 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_HI_WATERMARKS' is never used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:68 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_LO_WATERMARKS' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:69 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_BUCKET_HI_WATERMARKS' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:70 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_HI_WATERMARKS' is never used + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:71 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_LO_WATERMARKS' is never used + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:72 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNT_TOTAL_FAILS' is never used + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:73 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_REPCNTS_TOTAL_FAILS' is never used + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:74 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_HI_TOTAL_FAILS' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:75 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ADAPTP_LO_TOTAL_FAILS' is never used + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:76 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_BUCKET_TOTAL_FAILS' is never used + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:77 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_HI_TOTAL_FAILS' is never used + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:78 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MARKOV_LO_TOTAL_FAILS' is never used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:79 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_HI_TOTAL_FAILS' is never used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:80 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_LO_TOTAL_FAILS' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:81 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_THRESHOLD' is never used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:82 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_SUMMARY_FAIL_COUNTS' is never used + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:83 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_FAIL_COUNTS' is never used + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:84 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_EXTHT_FAIL_COUNTS' is never used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:85 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_CONTROL' is never used + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:86 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_SHA3_START' is never used + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:87 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_WR_FIFO_FULL' is never used + at line 88 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:88 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_RD_FIFO_OVERFLOW' is never used + at line 89 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:89 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_RD_DATA' is never used + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:90 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FW_OV_WR_DATA' is never used + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:91 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_OBSERVE_FIFO_THRESH' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:92 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_OBSERVE_FIFO_DEPTH' is never used + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:93 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_DEBUG_STATUS' is never used + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:94 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_RECOV_ALERT_STS' is never used + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:95 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ERR_CODE' is never used + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:96 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ERR_CODE_TEST' is never used + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:97 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_MAIN_SM_STATE' is never used + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:98 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 101 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:101 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:103 [compile index 1534] +*** Warning: SIGNAL_NEVER_READ: Signal 'generate_rng' is never read + at line 114 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:114 [compile index 1534] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 130 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:130 [compile index 1534] +*** Warning: SIGNAL_NEVER_USED: Signal 'digest_data' is never used + at line 135 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:135 [compile index 1534] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 215 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/entropy_src/tb/entropy_src_tb.sv:215 [compile index 1534] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:37 [compile index 1536] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:43 [compile index 1536] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:45 [compile index 1536] +*** Warning: SIGNAL_NEVER_READ: Signal 'generate_rng' is never read + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:74 [compile index 1536] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:90 [compile index 1536] +*** Warning: SIGNAL_NEVER_USED: Signal 'digest_data' is never used + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:95 [compile index 1536] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 197 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spi_host_tb.sv:197 [compile index 1536] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_idle' is never read (connected to sub-instance output port) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv:38 [compile index 1537] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_wdata' is never read (connected to sub-instance output port) + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_wrap.sv:39 [compile index 1537] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'input_mem' is never written + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:58 [compile index 1542] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'expected_output_mem' is never written + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:59 [compile index 1542] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out0' is never read (connected to sub-instance output port) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:86 [compile index 1542] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out1' is never read (connected to sub-instance output port) + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_encode_z/tb/sigencode_z_tb.sv:86 [compile index 1542] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_STATE' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:43 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_ENABLE' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:44 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_INTR_TEST' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:45 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_ALERT_TEST' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:46 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FIFO_CTRL' is never used + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:51 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_FIFO_STATUS' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:52 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_OVRD' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:53 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_VAL' is never used + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:54 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_TIMEOUT_CTRL' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:55 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:58 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:60 [compile index 1543] +*** Warning: SIGNAL_NEVER_READ: Signal 'generate_rng' is never read + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:71 [compile index 1543] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:87 [compile index 1543] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 153 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/tb/uart_tb.sv:153 [compile index 1543] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:68 [compile index 1544] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:102 [compile index 1544] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 174 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/tb/rej_sampler_tb.sv:174 [compile index 1544] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:57 [compile index 1545] +*** Warning: SIGNAL_NEVER_READ: Signal 'vld_coeff_ctr' is never read + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:93 [compile index 1545] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 186 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sample_in_ball/tb/sample_in_ball_tb.sv:186 [compile index 1545] +*** Warning: SIGNAL_NEVER_USED: Signal 'kk' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:36 [compile index 1546] +*** Warning: SIGNAL_NEVER_USED: Signal 'll' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/tb/caliptra_veer_sram_export.sv:36 [compile index 1546] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'prd_i' to 'mask_o' + at line 460 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked.sv:460 [compile index 1549] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'prd_i' to 'mask_o' + at line 419 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_sbox_canright_masked_noreuse.sv:419 [compile index 1550] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BW' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_top.sv:26 [compile index 1551] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_dma_top.sv:29 [compile index 1551] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'de' to 'wr_en' + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv:43 [compile index 1552] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'wr_data' + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv:44 [compile index 1552] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'de' to 'wr_en' + at line 172 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv:172 [compile index 1552] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'wr_data' + at line 173 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_arb.sv:173 [compile index 1552] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_WR' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:30 [compile index 1594] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_RD' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:31 [compile index 1594] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BUFFER_DATA_W' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:32 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:45 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'mem_wr_data_o' is never read (connected to sub-instance output port) + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:48 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'r0_rdy_tb' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:50 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_array' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_high' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_low' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_high_usehint' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_high_final' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'hint_array' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:51 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'coeff_high_tb' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:52 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'kdone_tb' is never read + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:53 [compile index 1594] +*** Warning: SIGNAL_NEVER_READ: Signal 'verify_tb' is never read + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/decompose/tb/decompose_tb.sv:54 [compile index 1594] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:39 [compile index 1596] +*** Warning: SIGNAL_NEVER_READ: Signal 'flush_buffer_reg' is never read + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:77 [compile index 1596] +*** Warning: SIGNAL_NEVER_READ: Signal 'incr_index_d2' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:84 [compile index 1596] +*** Warning: SIGNAL_NEVER_READ: Signal 'poly_last_reg' is never read + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:90 [compile index 1596] +*** Warning: SIGNAL_NEVER_READ: Signal 'arc_MH_WAIT2_MH_IDLE' is never read + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:102 [compile index 1596] +*** Warning: SIGNAL_NEVER_READ: Signal 'busy_reg' is never read + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/makehint.sv:108 [compile index 1596] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_RD' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:31 [compile index 1597] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:45 [compile index 1597] +*** Warning: SIGNAL_NEVER_READ: Signal 'hint_4bit_tb' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:50 [compile index 1597] +*** Warning: SIGNAL_NEVER_READ: Signal 'index_tb' is never read + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:51 [compile index 1597] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_array' is never written + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:52 [compile index 1597] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'z_array' is never written + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/tb/makehint_tb.sv:53 [compile index 1597] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_L' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv:29 [compile index 1601] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_K' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv:30 [compile index 1601] +*** Warning: SIGNAL_NEVER_USED: Signal 'mode' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv:38 [compile index 1601] +*** Warning: SIGNAL_NEVER_READ: Signal 'norm_check_busy' is never read + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_ctrl.sv:55 [compile index 1601] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_N' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/rtl/norm_check_top.sv:35 [compile index 1602] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_WR' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:30 [compile index 1603] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_RD' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:31 [compile index 1603] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BUFFER_DATA_W' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/norm_check/tb/norm_check_tb.sv:32 [compile index 1603] +*** Warning: SIGNAL_NEVER_READ: Signal 'masking_en_reg' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_hybrid_butterfly_2x2.sv:62 [compile index 1604] +*** Warning: SIGNAL_NEVER_USED: Signal 'prime' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv:47 [compile index 1605] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res_bool0' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv:48 [compile index 1605] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res_bool1' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_add_sub.sv:48 [compile index 1605] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ROLLER' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:27 [compile index 1606] +*** Warning: SIGNAL_NEVER_READ: Signal 'mul_res_refresh' is never read + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:42 [compile index 1606] +*** Warning: SIGNAL_NEVER_USED: Signal 'mul_res_bool0' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:44 [compile index 1606] +*** Warning: SIGNAL_NEVER_USED: Signal 'mul_res_bool1' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:44 [compile index 1606] +*** Warning: SIGNAL_NEVER_USED: Signal 'temp' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:45 [compile index 1606] +*** Warning: SIGNAL_NEVER_READ: Signal 'final_res' is never read + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:45 [compile index 1606] +*** Warning: SIGNAL_NEVER_USED: Signal 'mul_res_bool_redux0' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:49 [compile index 1606] +*** Warning: SIGNAL_NEVER_USED: Signal 'mul_res_bool_redux1' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:49 [compile index 1606] +*** Warning: SIGNAL_NEVER_READ: Signal 'mul_res_redux0' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:49 [compile index 1606] +*** Warning: SIGNAL_NEVER_READ: Signal 'mul_res_redux1' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_BFU_mult.sv:49 [compile index 1606] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res_reg0' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:48 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res_reg1' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:48 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res0' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'add_res1' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'mul_res0' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'mul_res1' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'u_o_0' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'u_o_1' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'v_o_0' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'v_o_1' is never read + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_gs_butterfly.sv:50 [compile index 1608] +*** Warning: SIGNAL_NEVER_READ: Signal 'c11_10' is never read + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_mult_redux46.sv:63 [compile index 1609] +*** Warning: SIGNAL_NEVER_READ: Signal 'c9_0' is never read + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_mult_redux46.sv:66 [compile index 1609] +*** Warning: SIGNAL_NEVER_USED: Signal 'w_reg' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_pwm.sv:43 [compile index 1610] +*** Warning: SIGNAL_NEVER_READ: Signal 'w_unpacked' is never read + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_masked_pwm.sv:44 [compile index 1610] +*** Warning: SIGNAL_NEVER_USED: Signal 'rden' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_shuffle_buffer.sv:44 [compile index 1611] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q_DIV2_ODD' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:39 [compile index 1612] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_LOGN' is never used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:41 [compile index 1612] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MEM_ADDR_WIDTH' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:42 [compile index 1612] +*** Warning: SIGNAL_NEVER_READ: Signal 'mem_wr_data_reg_d2' is never read + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:95 [compile index 1612] +*** Warning: SIGNAL_NEVER_READ: Signal 'twiddle_addr_reg' is never read + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:110 [compile index 1612] +*** Warning: SIGNAL_NEVER_READ: Signal 'buf_rd_rst_count' is never read (connected to sub-instance output port) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:113 [compile index 1612] +*** Warning: SIGNAL_NEVER_READ: Signal 'masking_en_ctrl' is never read (connected to sub-instance output port) + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_top.sv:151 [compile index 1612] +*** Warning: PARAMETER_NEVER_USED: Parameter 'TEST_VECTOR_NUM' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:29 [compile index 1613] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MEM_ADDR_WIDTH' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:33 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_ctr' is never read + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:44 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'tc_ctr' is never read + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:45 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:49 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'bf_ready_tb' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:52 [compile index 1613] +*** Warning: SIGNAL_NEVER_USED: Signal 'zeta' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:56 [compile index 1613] +*** Warning: SIGNAL_NEVER_USED: Signal 'zeta_inv' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:57 [compile index 1613] +*** Warning: SIGNAL_NEVER_USED: Signal 'operation' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:59 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'sub' is never read + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:61 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'u' is never read + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:63 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'v' is never read + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:64 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'w' is never read + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:65 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'wren_tb' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:67 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'rden_tb' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:67 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'wrptr_tb' is never read + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:68 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'rdptr_tb' is never read + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:68 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'random_tb' is never read + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:69 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'masked_uvw_i_tb' is never read + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:71 [compile index 1613] +*** Warning: SIGNAL_NEVER_USED: Signal 'uv_o_tb' is never used + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:74 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'u10' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:75 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'u11' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:75 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'v10' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:75 [compile index 1613] +*** Warning: SIGNAL_NEVER_READ: Signal 'v11' is never read + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_masking_tb.sv:75 [compile index 1613] +*** Warning: PARAMETER_NEVER_USED: Parameter 'TEST_VECTOR_NUM' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:32 [compile index 1614] +*** Warning: PARAMETER_NEVER_USED: Parameter 'PRIME' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:33 [compile index 1614] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MEM_ADDR_WIDTH' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:36 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'error_ctr' is never read + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:47 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'tc_ctr' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:48 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:52 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'bf_ready_tb' is never read + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:55 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'data_i_tb' is never read + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:56 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'data_o_tb' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:56 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'addr0' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:58 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'addr1' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:58 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'addr2' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:58 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'addr3' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:58 [compile index 1614] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'data0' is never written + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:60 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'data1' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:60 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'data2' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:60 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'data3' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:60 [compile index 1614] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'zeta' is never written + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:62 [compile index 1614] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'zeta_inv' is never written + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:63 [compile index 1614] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'ntt_mem_tb' is never written + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:64 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'src_base_addr' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:69 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'interim_base_addr' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:69 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'dest_base_addr' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:69 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'operation' is never read + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:76 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'sub' is never read + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:78 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'actual_u' is never read + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:79 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'actual_v' is never read + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:79 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'actual_w' is never read + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:79 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'u' is never read + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:80 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'v' is never read + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:81 [compile index 1614] +*** Warning: SIGNAL_NEVER_USED: Signal 'w' is never used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:82 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rnd0' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:83 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rnd1' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:83 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rnd2' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:83 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rnd3' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:83 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'wren_tb' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:84 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rden_tb' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:84 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'wrptr_tb' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:85 [compile index 1614] +*** Warning: SIGNAL_NEVER_READ: Signal 'rdptr_tb' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_top_tb.sv:85 [compile index 1614] +*** Warning: PARAMETER_NEVER_USED: Parameter 'RADIX' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:27 [compile index 1615] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_wr_addr' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:75 [compile index 1615] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_rd_addr' is never used + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:76 [compile index 1615] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_wr_data' is never used + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:77 [compile index 1615] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_rd_data' is never used + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:78 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'ct_mode' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:83 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'gs_mode' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:84 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwo_mode' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:85 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwm_mode' is never read + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:86 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwa_mode' is never read + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:86 [compile index 1615] +*** Warning: SIGNAL_NEVER_READ: Signal 'pws_mode' is never read + at line 86 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_wrapper.sv:86 [compile index 1615] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'input_mem' is never written + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:57 [compile index 1623] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'expected_output_mem' is never written + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:58 [compile index 1623] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out0' is never read (connected to sub-instance output port) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:85 [compile index 1623] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out1' is never read (connected to sub-instance output port) + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sig_decode_z/tb/sigdecode_z_tb.sv:85 [compile index 1623] +*** Warning: SIGNAL_NEVER_READ: Signal 'sigdecode_h_busy' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h_ctrl.sv:58 [compile index 1626] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_offset' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:55 [compile index 1628] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst_wr_addr' is never read + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:59 [compile index 1628] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst_rd_addr' is never read + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:60 [compile index 1628] +*** Warning: SIGNAL_NEVER_READ: Signal 'skdecode_busy' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:62 [compile index 1628] +*** Warning: SIGNAL_NEVER_USED: Signal 'poly_count' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:67 [compile index 1628] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_rd_stall_local' is never used + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_ctrl.sv:72 [compile index 1628] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_ETA' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:36 [compile index 1629] +*** Warning: SIGNAL_NEVER_READ: Signal 's1s2_enable_reg_d2' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:67 [compile index 1629] +*** Warning: SIGNAL_NEVER_READ: Signal 't0_enable_reg_d2' is never read + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:67 [compile index 1629] +*** Warning: SIGNAL_NEVER_READ: Signal 't0_done_reg' is never read + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:73 [compile index 1629] +*** Warning: SIGNAL_NEVER_USED: Signal 'keymem_rd_addr' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:92 [compile index 1629] +*** Warning: SIGNAL_NEVER_USED: Signal 'keymem_rd_addr_nxt' is never used + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_top.sv:92 [compile index 1629] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MEM_ADDR_WIDTH' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:28 [compile index 1630] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ETA_SIZE' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:30 [compile index 1630] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:45 [compile index 1630] +*** Warning: SIGNAL_NEVER_READ: Signal 's1s2_data_i' is never read + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:48 [compile index 1630] +*** Warning: SIGNAL_NEVER_READ: Signal 't0_data_i' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:49 [compile index 1630] +*** Warning: SIGNAL_NEVER_READ: Signal 'ahb_data_tb' is never read + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:51 [compile index 1630] +*** Warning: SIGNAL_NEVER_USED: Signal 's1_array' is never used + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:54 [compile index 1630] +*** Warning: SIGNAL_NEVER_USED: Signal 's1_array_rev' is never used + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:54 [compile index 1630] +*** Warning: SIGNAL_NEVER_USED: Signal 's2_array' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:62 [compile index 1630] +*** Warning: SIGNAL_NEVER_USED: Signal 't0_array' is never used + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/tb/skdecode_tb.sv:63 [compile index 1630] +*** Warning: SIGNAL_NEVER_USED: Signal 'asserted_error_flag' is never used + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:83 [compile index 1631] +*** Warning: SIGNAL_NEVER_READ: Signal 'encoding_error' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/rtl/skencode.sv:85 [compile index 1631] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'input_mem' is never written + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:58 [compile index 1632] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'expected_output_mem' is never written + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:59 [compile index 1632] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out0' is never read (connected to sub-instance output port) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:84 [compile index 1632] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out1' is never read (connected to sub-instance output port) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_encode/tb/skencode_tb.sv:84 [compile index 1632] +*** Warning: SIGNAL_NEVER_USED: Signal 'mbist_mode' is never used + at line 434 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:434 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'free_l2clk' is never read (connected to sub-instance output port) + at line 449 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:449 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awvalid' is never read (connected to sub-instance output port) + at line 610 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:610 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awid' is never read (connected to sub-instance output port) + at line 612 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:612 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awaddr' is never read (connected to sub-instance output port) + at line 613 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:613 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awregion' is never read (connected to sub-instance output port) + at line 614 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:614 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awlen' is never read (connected to sub-instance output port) + at line 615 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:615 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awsize' is never read (connected to sub-instance output port) + at line 616 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:616 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awburst' is never read (connected to sub-instance output port) + at line 617 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:617 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awlock' is never read (connected to sub-instance output port) + at line 618 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:618 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awcache' is never read (connected to sub-instance output port) + at line 619 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:619 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awprot' is never read (connected to sub-instance output port) + at line 620 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:620 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awqos' is never read (connected to sub-instance output port) + at line 621 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:621 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wvalid' is never read (connected to sub-instance output port) + at line 624 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:624 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wdata' is never read (connected to sub-instance output port) + at line 626 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:626 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wstrb' is never read (connected to sub-instance output port) + at line 627 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:627 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wlast' is never read (connected to sub-instance output port) + at line 628 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:628 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bready' is never read (connected to sub-instance output port) + at line 631 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:631 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arvalid' is never read (connected to sub-instance output port) + at line 636 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:636 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arid' is never read (connected to sub-instance output port) + at line 638 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:638 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_araddr' is never read (connected to sub-instance output port) + at line 639 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:639 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arregion' is never read (connected to sub-instance output port) + at line 640 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:640 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arlen' is never read (connected to sub-instance output port) + at line 641 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:641 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arsize' is never read (connected to sub-instance output port) + at line 642 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:642 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arburst' is never read (connected to sub-instance output port) + at line 643 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:643 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arlock' is never read (connected to sub-instance output port) + at line 644 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:644 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arcache' is never read (connected to sub-instance output port) + at line 645 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:645 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arprot' is never read (connected to sub-instance output port) + at line 646 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:646 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arqos' is never read (connected to sub-instance output port) + at line 647 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:647 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rready' is never read (connected to sub-instance output port) + at line 650 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:650 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awvalid' is never read (connected to sub-instance output port) + at line 669 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:669 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awid' is never read (connected to sub-instance output port) + at line 671 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:671 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awaddr' is never read (connected to sub-instance output port) + at line 672 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:672 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awregion' is never read (connected to sub-instance output port) + at line 673 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:673 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awlen' is never read (connected to sub-instance output port) + at line 674 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:674 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awsize' is never read (connected to sub-instance output port) + at line 675 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:675 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awburst' is never read (connected to sub-instance output port) + at line 676 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:676 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awlock' is never read (connected to sub-instance output port) + at line 677 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:677 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awcache' is never read (connected to sub-instance output port) + at line 678 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:678 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awprot' is never read (connected to sub-instance output port) + at line 679 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:679 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awqos' is never read (connected to sub-instance output port) + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:680 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_wvalid' is never read (connected to sub-instance output port) + at line 682 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:682 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_wdata' is never read (connected to sub-instance output port) + at line 684 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:684 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_wstrb' is never read (connected to sub-instance output port) + at line 685 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:685 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_wlast' is never read (connected to sub-instance output port) + at line 686 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:686 [compile index 1633] +*** Warning: SIGNAL_MULTIPLE_DRIVERS: Signal 'ifu_axi_bvalid' has multiple drivers + at line 688 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:688 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_bready' is never read (connected to sub-instance output port) + at line 689 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:689 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arvalid' is never read (connected to sub-instance output port) + at line 694 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:694 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arid' is never read (connected to sub-instance output port) + at line 696 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:696 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_araddr' is never read (connected to sub-instance output port) + at line 697 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:697 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arregion' is never read (connected to sub-instance output port) + at line 698 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:698 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arlen' is never read (connected to sub-instance output port) + at line 699 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:699 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arsize' is never read (connected to sub-instance output port) + at line 700 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:700 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arburst' is never read (connected to sub-instance output port) + at line 701 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:701 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arlock' is never read (connected to sub-instance output port) + at line 702 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:702 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arcache' is never read (connected to sub-instance output port) + at line 703 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:703 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arprot' is never read (connected to sub-instance output port) + at line 704 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:704 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_arqos' is never read (connected to sub-instance output port) + at line 705 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:705 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_rready' is never read (connected to sub-instance output port) + at line 708 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:708 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awvalid' is never read (connected to sub-instance output port) + at line 725 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:725 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awid' is never read (connected to sub-instance output port) + at line 727 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:727 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awaddr' is never read (connected to sub-instance output port) + at line 728 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:728 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awregion' is never read (connected to sub-instance output port) + at line 729 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:729 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awlen' is never read (connected to sub-instance output port) + at line 730 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:730 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awsize' is never read (connected to sub-instance output port) + at line 731 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:731 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awburst' is never read (connected to sub-instance output port) + at line 732 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:732 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awlock' is never read (connected to sub-instance output port) + at line 733 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:733 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awcache' is never read (connected to sub-instance output port) + at line 734 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:734 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awprot' is never read (connected to sub-instance output port) + at line 735 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:735 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_awqos' is never read (connected to sub-instance output port) + at line 736 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:736 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_wvalid' is never read (connected to sub-instance output port) + at line 738 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:738 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_wdata' is never read (connected to sub-instance output port) + at line 740 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:740 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_wstrb' is never read (connected to sub-instance output port) + at line 741 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:741 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_wlast' is never read (connected to sub-instance output port) + at line 742 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:742 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_bready' is never read (connected to sub-instance output port) + at line 745 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:745 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arvalid' is never read (connected to sub-instance output port) + at line 750 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:750 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arid' is never read (connected to sub-instance output port) + at line 752 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:752 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_araddr' is never read (connected to sub-instance output port) + at line 753 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:753 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arregion' is never read (connected to sub-instance output port) + at line 754 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:754 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arlen' is never read (connected to sub-instance output port) + at line 755 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:755 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arsize' is never read (connected to sub-instance output port) + at line 756 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:756 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arburst' is never read (connected to sub-instance output port) + at line 757 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:757 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arlock' is never read (connected to sub-instance output port) + at line 758 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:758 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arcache' is never read (connected to sub-instance output port) + at line 759 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:759 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arprot' is never read (connected to sub-instance output port) + at line 760 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:760 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_arqos' is never read (connected to sub-instance output port) + at line 761 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:761 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_rready' is never read (connected to sub-instance output port) + at line 764 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:764 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_awready' is never read (connected to sub-instance output port) + at line 784 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:784 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_wready' is never read (connected to sub-instance output port) + at line 794 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:794 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_bvalid' is never read (connected to sub-instance output port) + at line 814 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:814 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_bresp' is never read (connected to sub-instance output port) + at line 816 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:816 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_bid' is never read (connected to sub-instance output port) + at line 817 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:817 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_arready' is never read (connected to sub-instance output port) + at line 822 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:822 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_rvalid' is never read (connected to sub-instance output port) + at line 840 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:840 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_rid' is never read (connected to sub-instance output port) + at line 842 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:842 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_rdata' is never read (connected to sub-instance output port) + at line 843 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:843 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_rresp' is never read (connected to sub-instance output port) + at line 844 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:844 [compile index 1633] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_rlast' is never read (connected to sub-instance output port) + at line 845 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer_wrapper.sv:845 [compile index 1633] +*** Warning: SIGNAL_NEVER_USED: Signal 'dec_csr_rdaddr_r' is never used + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:97 [compile index 1637] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_exc_valid_r_d1' is never read (connected to sub-instance output port) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:360 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MISA' is never used + at line 591 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:591 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MVENDORID' is never used + at line 594 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:594 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MARCHID' is never used + at line 595 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:595 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MIMPID' is never used + at line 596 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:596 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MHARTID' is never used + at line 597 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:597 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MIP' is never used + at line 624 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:624 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CYCLEL' is never used + at line 641 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:641 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CYCLEH' is never used + at line 649 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:649 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'INSTRETL' is never used + at line 661 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:661 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'INSTRETH' is never used + at line 669 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:669 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MDSEAC' is never used + at line 747 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:747 [compile index 1637] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MHPME_NOEVENT' is never used + at line 2522 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_tlu_ctl.sv:2522 [compile index 1637] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfva' is never read + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:55 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvb' is never read + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:56 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvc' is never read + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:57 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvd' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:58 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfve' is never read + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:59 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvf' is never read + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:60 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvg' is never read + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:61 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvh' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:62 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_perfvi' is never read + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:63 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_mcpc' is never read + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:66 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'csr_mdeau' is never read + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:68 [compile index 1639] +*** Warning: SIGNAL_NEVER_READ: Signal 'valid_only' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/include/el2_dec_csr_equ_m.svh:84 [compile index 1639] +*** Warning: PARAMETER_NEVER_USED: Parameter 'pt' is never used + at line 18 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_param.vh:18 [compile index 1446] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NACK_COUNT' is never used + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:121 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'fifo_error_bus' is never read (connected to sub-instance output port) + at line 125 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:125 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'fifo_posted_write' is never read (connected to sub-instance output port) + at line 133 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:133 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'fifo_mid' is never read (connected to sub-instance output port) + at line 137 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:137 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'fifo_prty' is never read (connected to sub-instance output port) + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:138 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_mem_addr_in_pic_region_nc' is never read (connected to sub-instance output port) + at line 180 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:180 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_mem_addr_in_dccm_region_nc' is never read + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:181 [compile index 1641] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_mem_addr_in_iccm_region_nc' is never read + at line 182 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:182 [compile index 1641] +*** Warning: SIGNAL_NEVER_USED: Signal 'stall_dma_in' is never used + at line 206 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:206 [compile index 1641] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_rsp_sent' is never used + at line 229 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_dma_ctrl.sv:229 [compile index 1641] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:26 [compile index 1643] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_l' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:27 [compile index 1643] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pmp.sv:29 [compile index 1643] +*** Warning: SIGNAL_NEVER_READ: Signal 'hwdata_nc' is never read (connected to sub-instance output port) + at line 470 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:470 [compile index 1645] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'lsu_axi_bready_ahb' is never written + at line 486 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:486 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bready_int' is never read + at line 499 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:499 [compile index 1645] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'ifu_axi_bready_ahb' is never written + at line 512 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:512 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_awready_int' is never read + at line 522 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:522 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_wready_int' is never read + at line 523 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:523 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_bvalid_int' is never read + at line 524 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:524 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_bready_int' is never read + at line 525 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:525 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_bresp_int' is never read + at line 526 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:526 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_bid_int' is never read + at line 527 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:527 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_axi_rlast_int' is never read + at line 533 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:533 [compile index 1645] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sb_axi_bready_ahb' is never written + at line 538 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:538 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_bready_int' is never read + at line 551 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:551 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_bid_int' is never read + at line 553 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:553 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_rid_int' is never read + at line 556 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:556 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_axi_rlast_int' is never read + at line 559 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:559 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_awprot_int' is never read + at line 601 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:601 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_awlen_int' is never read + at line 602 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:602 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_awburst_int' is never read + at line 603 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:603 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_wlast_int' is never read + at line 607 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:607 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_arprot_int' is never read + at line 613 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:613 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_arlen_int' is never read + at line 614 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:614 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dma_axi_arburst_int' is never read + at line 615 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:615 [compile index 1645] +*** Warning: SIGNAL_NEVER_READ: Signal 'dec_tlu_ifu_clk_override' is never read (connected to sub-instance output port) + at line 817 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_veer.sv:817 [compile index 1645] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_override' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:37 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_wready' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:57 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_bvalid' is never used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:65 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_bresp' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:70 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_bid' is never used + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:73 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_rid' is never used + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:99 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'ahb_hburst' is never used + at line 109 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:109 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'ahb_hmastlock' is never used + at line 110 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:110 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'ahb_hprot' is never used + at line 111 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:111 [compile index 1647] +*** Warning: SIGNAL_NEVER_READ: Signal 'ahb_addr_in_pic' is never read (connected to sub-instance output port) + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:149 [compile index 1647] +*** Warning: SIGNAL_NEVER_READ: Signal 'ahb_addr_in_dccm_region_nc' is never read (connected to sub-instance output port) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:150 [compile index 1647] +*** Warning: SIGNAL_NEVER_READ: Signal 'ahb_addr_in_iccm_region_nc' is never read + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:150 [compile index 1647] +*** Warning: SIGNAL_NEVER_READ: Signal 'ahb_addr_in_pic_region_nc' is never read (connected to sub-instance output port) + at line 150 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/ahb_to_axi4.sv:150 [compile index 1647] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_awprot' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:48 [compile index 1649] +*** Warning: SIGNAL_NEVER_USED: Signal 'axi_wlast' is never used + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:54 [compile index 1649] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID' is never used + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:95 [compile index 1649] +*** Warning: PARAMETER_NEVER_USED: Parameter 'PRTY' is never used + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:96 [compile index 1649] +*** Warning: SIGNAL_NEVER_USED: Signal 'buf_cmd_nxtbyte_ptr' is never used + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:162 [compile index 1649] +*** Warning: SIGNAL_NEVER_USED: Signal 'found' is never used + at line 164 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:164 [compile index 1649] +*** Warning: SIGNAL_NEVER_READ: Signal 'rd_bypass_idle' is never read + at line 181 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lib/axi4_to_ahb.sv:181 [compile index 1649] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BW' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub.sv:34 [compile index 1653] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub.sv:37 [compile index 1653] +*** Warning: PARAMETER_NEVER_USED: Parameter 'EX_EN' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_sub.sv:39 [compile index 1653] +*** Warning: SIGNAL_NEVER_USED: Signal 'new_block' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv:37 [compile index 1655] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'fv_round_key_array' is never written + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_constraints.sv:49 [compile index 1655] +*** Warning: SIGNAL_NEVER_USED: Signal 'round_key' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_decryption/fv_doe_decrypt.sv:34 [compile index 1656] +*** Warning: SIGNAL_NEVER_USED: Signal 'new_block' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv:40 [compile index 1657] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'fv_round_key_array' is never written + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_constraints.sv:53 [compile index 1657] +*** Warning: SIGNAL_NEVER_USED: Signal 'round_key' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:34 [compile index 1658] +*** Warning: SIGNAL_NEVER_USED: Signal 'sboxw' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:36 [compile index 1658] +*** Warning: SIGNAL_NEVER_USED: Signal 'new_sboxw' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_encryption/fv_doe_encrypt.sv:37 [compile index 1658] +*** Warning: SIGNAL_NEVER_USED: Signal 'sboxw' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv:39 [compile index 1659] +*** Warning: SIGNAL_NEVER_USED: Signal 'new_sboxw' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv:40 [compile index 1659] +*** Warning: SIGNAL_NEVER_USED: Signal 'roundkey_mem' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv:42 [compile index 1659] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'curr_bit' is never written + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv:67 [compile index 1659] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx' is never written + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_keymem.sv:68 [compile index 1659] +*** Warning: SIGNAL_NEVER_READ: Signal 'sb_read_pend' is never read + at line 269 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dbg/el2_dbg.sv:269 [compile index 1665] +*** Warning: SIGNAL_NEVER_USED: Signal 'data_gate_en' is never used + at line 382 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:382 [compile index 1669] +*** Warning: SIGNAL_NEVER_USED: Signal 'data_gate_clk' is never used + at line 383 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:383 [compile index 1669] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dec_i0_bp_index' to 'i0_predict_index_d' + at line 478 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:478 [compile index 1669] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dec_i0_bp_btag' to 'i0_predict_btag_d' + at line 480 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:480 [compile index 1669] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dec_i0_bp_fghr' to 'i0_predict_fghr_d' + at line 483 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_decode_ctl.sv:483 [compile index 1669] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:32 [compile index 1673] +*** Warning: SIGNAL_NEVER_USED: Signal 'csr_wr_clk' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:34 [compile index 1673] +*** Warning: SIGNAL_NEVER_USED: Signal 'dec_pause_state' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:47 [compile index 1673] +*** Warning: SIGNAL_NEVER_USED: Signal 'dec_tlu_pmu_fw_halted' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:48 [compile index 1673] +*** Warning: SIGNAL_NEVER_USED: Signal 'internal_dbg_halt_timers' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_pmp_ctl.sv:49 [compile index 1673] +*** Warning: PARAMETER_NEVER_USED: Parameter 'GW_CONFIG' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:75 [compile index 1675] +*** Warning: SIGNAL_NEVER_USED: Signal 'intenable_clk_enable_grp' is never used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:80 [compile index 1675] +*** Warning: SIGNAL_NEVER_USED: Signal 'gw_clk' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:81 [compile index 1675] +*** Warning: SIGNAL_NEVER_USED: Signal 'prithresh_reg_write' is never used + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:131 [compile index 1675] +*** Warning: SIGNAL_NEVER_USED: Signal 'prithresh_reg_read' is never used + at line 131 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:131 [compile index 1675] +*** Warning: SIGNAL_NEVER_READ: Signal 'extintsrc_req_sync' is never read + at line 145 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:145 [compile index 1675] +*** Warning: SIGNAL_NEVER_USED: Signal 'pic_waddr_c1_clken' is never used + at line 151 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_pic_ctrl.sv:151 [compile index 1675] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_BHT_LOOP_INNER_HI' is never used + at line 102 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_bp_ctl.sv:102 [compile index 1678] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_OF_BEATS' is never used + at line 205 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:205 [compile index 1680] +*** Warning: SIGNAL_NEVER_READ: Signal 'ifu_byp_data_err_new' is never read + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:236 [compile index 1680] +*** Warning: SIGNAL_NEVER_USED: Signal 'wr_data_c1_clk' is never used + at line 348 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:348 [compile index 1680] +*** Warning: SIGNAL_NEVER_USED: Signal 'perr_ic_index_ff' is never used + at line 932 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:932 [compile index 1680] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_real_rd_wp_unused' is never read + at line 1384 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_mem_ctl.sv:1384 [compile index 1680] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_axi_rlast' is never used + at line 183 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:183 [compile index 1682] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_i0_valid_d' is never used + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:256 [compile index 1682] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_i0_valid_m' is never used + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:256 [compile index 1682] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_i0_valid_r' is never used + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:256 [compile index 1682] +*** Warning: SIGNAL_NEVER_READ: Signal 'store_data_bypass_sel' is never read + at line 429 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu.sv:429 [compile index 1682] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awvalid_q' is never read (connected to sub-instance output port) + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:356 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_awready_q' is never read (connected to sub-instance output port) + at line 356 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:356 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wvalid_q' is never read (connected to sub-instance output port) + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:357 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_wready_q' is never read (connected to sub-instance output port) + at line 357 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:357 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arvalid_q' is never read (connected to sub-instance output port) + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:358 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_arready_q' is never read (connected to sub-instance output port) + at line 358 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:358 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bvalid_q' is never read (connected to sub-instance output port) + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:359 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bready_q' is never read (connected to sub-instance output port) + at line 359 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:359 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rvalid_q' is never read (connected to sub-instance output port) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:360 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rready_q' is never read (connected to sub-instance output port) + at line 360 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:360 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bid_q' is never read (connected to sub-instance output port) + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:361 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rid_q' is never read (connected to sub-instance output port) + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:361 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_bresp_q' is never read (connected to sub-instance output port) + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:362 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rresp_q' is never read (connected to sub-instance output port) + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:362 [compile index 1684] +*** Warning: SIGNAL_NEVER_READ: Signal 'lsu_axi_rdata_q' is never read (connected to sub-instance output port) + at line 364 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_bus_buffer.sv:364 [compile index 1684] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DCCM_WIDTH_BITS' is never used + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:163 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'lsu_double_ecc_error_r' to 'dccm_dma_ecc_error' + at line 196 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:196 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'lsu_double_ecc_error_m' to 'dccm_dma_ecc_error' + at line 235 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:235 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dma_mem_tag_m' to 'dccm_dma_rtag' + at line 236 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:236 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'lsu_addr_d' to 'dccm_rd_addr_lo' + at line 289 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:289 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'end_addr_d' to 'dccm_rd_addr_hi' + at line 290 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:290 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dccm_rd_data_lo' to 'dccm_rdata_lo_m' + at line 373 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:373 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dccm_rd_data_hi' to 'dccm_rdata_hi_m' + at line 374 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:374 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dccm_rd_data_lo' to 'dccm_data_ecc_lo_m' + at line 376 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:376 [compile index 1690] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dccm_rd_data_hi' to 'dccm_data_ecc_hi_m' + at line 377 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_ctl.sv:377 [compile index 1690] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_addr_d' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:62 [compile index 1692] +*** Warning: SIGNAL_NEVER_USED: Signal 'end_addr_d' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:66 [compile index 1692] +*** Warning: SIGNAL_NEVER_USED: Signal 'lsu_cmpen_m' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_stbuf.sv:75 [compile index 1692] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'data_i' to 'data_o' + at line 16 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/aes/rtl/aes_shift_rows.sv:16 [compile index 1704] +*** Warning: SIGNAL_NEVER_USED: Signal 'zeroize' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc.sv:30 [compile index 1710] +*** Warning: SIGNAL_NEVER_USED: Signal 'IV_decry' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_core_cbc.sv:40 [compile index 1710] +*** Warning: SIGNAL_NEVER_USED: Signal 'round' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv:34 [compile index 1713] +*** Warning: SIGNAL_NEVER_USED: Signal 'round_key' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_doe_keymem/fv_constraints.sv:35 [compile index 1713] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REG_SIZE' is never used + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:22 [compile index 1715] +*** Warning: PARAMETER_NEVER_USED: Parameter 'RND_SIZE' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:23 [compile index 1715] +*** Warning: PARAMETER_NEVER_USED: Parameter 'INSTR_SIZE' is never used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:24 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_keygen_a' is never written + at line 255 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:255 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_keygen_b' is never written + at line 256 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:256 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_sign_a' is never written + at line 361 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:361 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_sign_b' is never written + at line 362 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:362 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_a' is never written + at line 462 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:462 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_b' is never written + at line 463 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:463 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_c' is never written + at line 464 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:464 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_a_1' is never written + at line 465 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:465 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_b_1' is never written + at line 466 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:466 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p0_c_1' is never written + at line 467 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:467 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p1_a' is never written + at line 582 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:582 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p1_b' is never written + at line 583 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:583 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_a' is never written + at line 676 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:676 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_b' is never written + at line 677 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:677 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_c' is never written + at line 678 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:678 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_d' is never written + at line 679 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:679 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_a_1' is never written + at line 680 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:680 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_b_1' is never written + at line 681 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:681 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_c_1' is never written + at line 682 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:682 [compile index 1715] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'counter_ver_p2_d_1' is never written + at line 683 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_pm_ctrl_abstract.sv:683 [compile index 1715] +*** Warning: PARAMETER_NEVER_USED: Parameter 'INSTR_SIZE' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pm_ctrl.sv:47 [compile index 1718] +*** Warning: SIGNAL_NEVER_USED: Signal 'H1_setup_digest' is never used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:25 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'H2_digest' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:26 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'hmac_msg_block_msg' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:28 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'hmac_msg_next' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:30 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'H1_digest_valid' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:42 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'H1_setup_digest_valid' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:43 [compile index 1722] +*** Warning: SIGNAL_NEVER_USED: Signal 'H2_digest_valid' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_hmac_core.sv:44 [compile index 1722] +*** Warning: SIGNAL_NEVER_READ: Signal 'in_data_0' is never read + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv:76 [compile index 1724] +*** Warning: SIGNAL_NEVER_READ: Signal 'hmac_9' is never read + at line 139 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_hmac_drbg.sv:139 [compile index 1724] +*** Warning: PARAMETER_NEVER_USED: Parameter 'KV_PAD_LENGTH_START' is never used + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_fsm.sv:51 [compile index 1725] +*** Warning: SIGNAL_NEVER_READ: Signal 'pad_data' is never read (connected to sub-instance output port) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_write_client.sv:52 [compile index 1727] +*** Warning: SIGNAL_NEVER_READ: Signal 'write_pad' is never read (connected to sub-instance output port) + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/keyvault/rtl/kv_write_client.sv:53 [compile index 1727] +*** Warning: SIGNAL_NEVER_USED: Signal 'hwdata_i' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_ahb_srom.sv:28 [compile index 1728] +*** Warning: SIGNAL_NEVER_USED: Signal 'hsize_i' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_ahb_srom.sv:34 [compile index 1728] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'rdata' to 'hrdata_o' + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/caliptra_ahb_srom.sv:63 [compile index 1728] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'ifu_i0_icaf_type' to 'dec_i0_icaf_type_d' + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:99 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'i0_brp' to 'dec_i0_brp' + at line 158 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:158 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'ifu_i0_bp_index' to 'dec_i0_bp_index' + at line 159 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:159 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'ifu_i0_bp_fghr' to 'dec_i0_bp_fghr' + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:160 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'ifu_i0_bp_btag' to 'dec_i0_bp_btag' + at line 161 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:161 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'ifu_i0_fa_index' to 'dec_i0_bp_fa_index' + at line 162 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dec/el2_dec_ib_ctl.sv:162 [compile index 1729] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'clk' to 'clk' + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/el2_mem.sv:100 [compile index 1733] +*** Warning: SIGNAL_NEVER_USED: Signal 'flush_in_d' is never used + at line 138 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu.sv:138 [compile index 1735] +*** Warning: SIGNAL_NEVER_USED: Signal 'i' is never used + at line 334 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_alu_ctl.sv:334 [compile index 1737] +*** Warning: SIGNAL_NEVER_READ: Signal 'shortq' is never read + at line 199 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/exu/el2_exu_div_ctl.sv:199 [compile index 1739] +*** Warning: SIGNAL_NEVER_USED: Signal 'first_legal' is never used + at line 166 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_aln_ctl.sv:166 [compile index 1743] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:28 [compile index 1747] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_iccm_mem.sv:47 [compile index 1747] +*** Warning: SIGNAL_NEVER_USED: Signal 'rs1_d' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:39 [compile index 1758] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_addrcheck.sv:58 [compile index 1758] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:35 [compile index 1760] +*** Warning: SIGNAL_NEVER_USED: Signal 'scan_mode' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:55 [compile index 1760] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DCCM_INDEX_DEPTH' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:62 [compile index 1760] +*** Warning: SIGNAL_NEVER_USED: Signal 'rd_addr_even' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:67 [compile index 1760] +*** Warning: SIGNAL_NEVER_USED: Signal 'rd_addr_odd' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:67 [compile index 1760] +*** Warning: SIGNAL_NEVER_USED: Signal 'wrdata' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_dccm_mem.sv:70 [compile index 1760] +*** Warning: SIGNAL_NEVER_READ: Signal 'ecc_out_hi_nc' is never read (connected to sub-instance output port) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:108 [compile index 1762] +*** Warning: SIGNAL_NEVER_READ: Signal 'ecc_out_lo_nc' is never read (connected to sub-instance output port) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_ecc.sv:108 [compile index 1762] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'lsu_ld_data_corr_r' to 'lsu_fir_addr' + at line 299 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/lsu/el2_lsu_lsc_ctl.sv:299 [compile index 1764] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_response_port_rdy' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:57 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_winternitz192_response_port_rdy' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:61 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_winternitz256_response_port_rdy' is never used + at line 65 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:65 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_request_port_rdy' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:69 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_request_port_vld' is never used + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:74 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_winternitz192_request_port_vld' is never used + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:77 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_core_winternitz256_request_port_vld' is never used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:80 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha_response_port_vld' is never used + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:83 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha' is never used + at line 100 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:100 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready_flag_register' is never used + at line 136 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:136 [compile index 1769] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha_core_response_0_i' is never read + at line 163 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:163 [compile index 1769] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha_request_0_i' is never read + at line 168 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:168 [compile index 1769] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'digest_position' is never written + at line 949 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:949 [compile index 1769] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'block_position' is never written + at line 953 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256.sv:953 [compile index 1769] +*** Warning: SIGNAL_NEVER_USED: Signal 'cptra_pwrgood' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:29 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'cs' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:30 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'we' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:31 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'address' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:32 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'write_data' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:33 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'debugUnlock_or_scan_mode_switch' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_constraints.sv:34 [compile index 1770] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_next' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core.sv:35 [compile index 1772] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_zeroize' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core.sv:52 [compile index 1772] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_next' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:29 [compile index 1774] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_zeroize' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512/formal/properties/fv_sha512.sv:30 [compile index 1774] +*** Warning: SIGNAL_NEVER_USED: Signal 'SHA_Input' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:31 [compile index 1776] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_in' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:43 [compile index 1776] +*** Warning: SIGNAL_NEVER_READ: Signal 'SHA_in_0_i' is never read + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_sha512_masked.sv:77 [compile index 1776] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_reg' is never read + at line 495 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/uart/rtl/uart_core.sv:495 [compile index 1781] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'de' to 'wr_en' + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv:37 [compile index 1785] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'wr_data' + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv:38 [compile index 1785] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'de' to 'wr_en' + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv:70 [compile index 1785] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'wr_data' + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_arb.sv:71 [compile index 1785] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask_ctrl.sv:25 [compile index 1791] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/exp_mask/rtl/exp_mask_ctrl.sv:26 [compile index 1791] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/hintgen.sv:31 [compile index 1792] +*** Warning: SIGNAL_NEVER_USED: Signal 'reset_n' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/makehint/rtl/hintgen.sv:32 [compile index 1792] +*** Warning: SIGNAL_NEVER_USED: Signal 'mode' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv:42 [compile index 1793] +*** Warning: SIGNAL_NEVER_USED: Signal 'wren_reg' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_buffer.sv:55 [compile index 1793] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q_DIV2_ODD' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv:31 [compile index 1794] +*** Warning: SIGNAL_NEVER_USED: Signal 'vw' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv:58 [compile index 1794] +*** Warning: SIGNAL_NEVER_USED: Signal 'vw_reg' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv:58 [compile index 1794] +*** Warning: SIGNAL_NEVER_USED: Signal 'sub_res_div2' is never used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv:68 [compile index 1794] +*** Warning: SIGNAL_NEVER_USED: Signal 'mul_res_reduced_div2' is never used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly.sv:68 [compile index 1794] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q_DIV2_ODD' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_butterfly2x2.sv:30 [compile index 1795] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REG_SIZE' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:30 [compile index 1796] +*** Warning: PARAMETER_NEVER_USED: Parameter 'RADIX' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:31 [compile index 1796] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q_DIV2_ODD' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:33 [compile index 1796] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_N' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:34 [compile index 1796] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_LOGN' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:35 [compile index 1796] +*** Warning: SIGNAL_NEVER_READ: Signal 'incr_twiddle_addr_reg_d2' is never read + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:148 [compile index 1796] +*** Warning: SIGNAL_NEVER_USED: Signal 'twiddle_mode' is never used + at line 149 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:149 [compile index 1796] +*** Warning: SIGNAL_NEVER_READ: Signal 'stage_done' is never read + at line 160 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:160 [compile index 1796] +*** Warning: SIGNAL_NEVER_USED: Signal 'rd_valid_count_int' is never used + at line 169 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:169 [compile index 1796] +*** Warning: SIGNAL_NEVER_READ: Signal 'arc_RD_STAGE_RD_EXEC_OPT' is never read + at line 189 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:189 [compile index 1796] +*** Warning: SIGNAL_NEVER_READ: Signal 'arc_WR_STAGE_WR_MEM_OPT' is never read + at line 219 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_ctrl.sv:219 [compile index 1796] +*** Warning: PARAMETER_NEVER_USED: Parameter 'TEST_VECTOR_NUM' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:29 [compile index 1798] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:47 [compile index 1798] +*** Warning: SIGNAL_NEVER_READ: Signal 'A' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:49 [compile index 1798] +*** Warning: SIGNAL_NEVER_READ: Signal 'B' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:49 [compile index 1798] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'U_out' is never written + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:50 [compile index 1798] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'V_out' is never written + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:50 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'GS_V' is never used + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:51 [compile index 1798] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'pwm_res' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:51 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'GS_U' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:52 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'res' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:53 [compile index 1798] +*** Warning: SIGNAL_NEVER_READ: Signal 'sub' is never read + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:55 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'u00' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'u01' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'v00' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'v01' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'w00' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'w01' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'w10' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'w11' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:59 [compile index 1798] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'U20_out' is never written + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:60 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'U21_out' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:60 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'V20_out' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:60 [compile index 1798] +*** Warning: SIGNAL_NEVER_USED: Signal 'V21_out' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/butterfly_top_tb.sv:60 [compile index 1798] +*** Warning: PARAMETER_NEVER_USED: Parameter 'RADIX' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:21 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_port0_req' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:60 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_rd_req' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:61 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_wr_data' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:62 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'mem_rd_data' is never used + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:63 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'pwm_a_rd_req' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:67 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'pwm_b_rd_req' is never used + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:68 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'pwm_a_rd_data' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:69 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'pwm_b_rd_data' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:70 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_wr_addr' is never used + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:74 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_rd_addr' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:75 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_wr_data' is never used + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:76 [compile index 1800] +*** Warning: SIGNAL_NEVER_USED: Signal 'ntt_mem_rd_data' is never used + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:77 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'ct_mode' is never read + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:82 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'gs_mode' is never read + at line 83 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:83 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwo_mode' is never read + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:84 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwm_mode' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:85 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'pwa_mode' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:85 [compile index 1800] +*** Warning: SIGNAL_NEVER_READ: Signal 'pws_mode' is never read + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:85 [compile index 1800] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk' is not an input port + at line 204 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/utb/ntt_utb_top/ntt_utb_top.sv:204 [compile index 1800] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'input_mem' is never written + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:53 [compile index 1802] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'expected_output_mem' is never written + at line 54 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:54 [compile index 1802] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out0' is never read (connected to sub-instance output port) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:84 [compile index 1802] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out1' is never read (connected to sub-instance output port) + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:84 [compile index 1802] +*** Warning: SIGNAL_NEVER_READ: Signal 'dummy_mem_out2' is never read (connected to sub-instance output port) + at line 108 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/pk_decode/tb/pkdecode_tb.sv:108 [compile index 1802] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REG_SIZE' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:29 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:30 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_N' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:31 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_K' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:32 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'OMEGA' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:33 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BUFFER_DATA_W' is never used + at line 34 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:34 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MEM_ADDR_WIDTH' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:35 [compile index 1804] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:53 [compile index 1804] +*** Warning: SIGNAL_NEVER_USED: Signal 'coeff_tb' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:56 [compile index 1804] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_input' is never written + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:59 [compile index 1804] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_high' is never written + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:60 [compile index 1804] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'coeff_low' is never written + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:61 [compile index 1804] +*** Warning: SIGNAL_NEVER_READ: Signal 'mem_rd_data_valid_tb' is never read + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/tb/power2round_tb.sv:64 [compile index 1804] +*** Warning: PARAMETER_NEVER_USED: Parameter 'OPT_REJ_BUFFER_DEPTH' is never used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_sampler/rtl/rej_sampler_ctrl.sv:24 [compile index 1806] +*** Warning: PARAMETER_NEVER_USED: Parameter 'SIG_H_NUM_DWORDS' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h.sv:49 [compile index 1808] +*** Warning: SIGNAL_NEVER_USED: Signal 'hint_array' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/rtl/sigdecode_h.sv:53 [compile index 1808] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_WR' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:29 [compile index 1809] +*** Warning: PARAMETER_NEVER_USED: Parameter 'NUM_RD' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:30 [compile index 1809] +*** Warning: PARAMETER_NEVER_USED: Parameter 'BUFFER_DATA_W' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:31 [compile index 1809] +*** Warning: SIGNAL_NEVER_READ: Signal 'cptra_pwrgood_tb' is never read + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:46 [compile index 1809] +*** Warning: SIGNAL_NEVER_READ: Signal 'padding' is never read + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sigdecode_h/tb/sigdecode_h_tb.sv:51 [compile index 1809] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_tag_corrected_data_unc' is never read (connected to sub-instance output port) + at line 843 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:843 [compile index 1828] +*** Warning: SIGNAL_NEVER_READ: Signal 'ic_tag_corrected_ecc_unc' is never read (connected to sub-instance output port) + at line 844 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_ic_mem.sv:844 [compile index 1828] +*** Warning: SIGNAL_NEVER_USED: Signal 'HBURST' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:29 [compile index 1832] +*** Warning: SIGNAL_NEVER_READ: Signal 'ok' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:49 [compile index 1832] +*** Warning: SIGNAL_NEVER_READ: Signal 'WriteData' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:52 [compile index 1832] +*** Warning: SIGNAL_NEVER_READ: Signal 'mailbox_write' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:58 [compile index 1832] +*** Warning: SIGNAL_NOT_RESET: Signal 'strb_lat' is not reset + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/rtl/ahb_sif.sv:123 [compile index 1832] +*** Warning: SIGNAL_NEVER_USED: Signal 'HBURST' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:29 [compile index 1833] +*** Warning: SIGNAL_NEVER_READ: Signal 'ok' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:49 [compile index 1833] +*** Warning: SIGNAL_NEVER_READ: Signal 'WriteData' is never read + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:52 [compile index 1833] +*** Warning: SIGNAL_NEVER_READ: Signal 'mailbox_write' is never read + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:58 [compile index 1833] +*** Warning: SIGNAL_NOT_RESET: Signal 'strb_lat' is not reset + at line 123 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/tb/ahb_sif.sv:123 [compile index 1833] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_ready' is not recommended + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:143 [compile index 1834] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_valid' is not recommended + at line 143 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:143 [compile index 1834] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_valid' is not recommended + at line 155 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:155 [compile index 1834] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_ready' is not recommended + at line 157 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:157 [compile index 1834] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_valid' is not recommended + at line 202 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:202 [compile index 1834] +*** Warning: OUTPUT_PORT_READ: Reading from output port 'o_valid' is not recommended + at line 213 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:213 [compile index 1834] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused' is never read + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/skidbuffer.v:233 [compile index 1834] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_XFER_BUSY' is never used + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ahb_lite_bus/rtl/ahb_lite_address_decoder.sv:74 [compile index 1835] +*** Warning: PARAMETER_NEVER_USED: Parameter 'Enable' is never used + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:19 [compile index 1837] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:21 [compile index 1837] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_ni' is never used + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:22 [compile index 1837] +*** Warning: SIGNAL_NEVER_USED: Signal 'prev_data_i' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:23 [compile index 1837] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'src_data_i' to 'dst_data_o' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_cdc_rand_delay.sv:61 [compile index 1837] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_sig' is never read + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_flop_2sync.sv:36 [compile index 1838] +*** Warning: SIGNAL_NEVER_USED: Signal 'sub_i' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_fau.sv:29 [compile index 1841] +*** Warning: SIGNAL_NEVER_USED: Signal 'prime_i' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_fau.sv:31 [compile index 1841] +*** Warning: SIGNAL_NEVER_USED: Signal 'mult_mu_i' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_fau.sv:32 [compile index 1841] +*** Warning: PARAMETER_NEVER_USED: Parameter 'FULL_REG_SIZE' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:53 [compile index 1842] +*** Warning: PARAMETER_NEVER_USED: Parameter 'PE_UNITS' is never used + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier.sv:55 [compile index 1842] +*** Warning: PARAMETER_NEVER_USED: Parameter 'Enable' is never used + at line 19 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:19 [compile index 1853] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk_i' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:21 [compile index 1853] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_b' is never used + at line 22 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:22 [compile index 1853] +*** Warning: SIGNAL_NEVER_USED: Signal 'prev_data_i' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:23 [compile index 1853] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'src_data_i' to 'dst_data_o' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_cdc_rand_delay.sv:61 [compile index 1853] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused_sig' is never read + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_flop_2sync.sv:36 [compile index 1854] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REJ_VLD_SAMPLES_W' is never used + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:35 [compile index 1857] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REJ_VLD_SAMPLE_W' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:37 [compile index 1857] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:69 [compile index 1857] +*** Warning: SIGNAL_NEVER_USED: Signal 'read_data' is never used + at line 103 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:103 [compile index 1857] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk_tb' is not an input port + at line 175 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/tb/rej_bounded_tb.sv:175 [compile index 1857] +*** Warning: SIGNAL_NEVER_READ: Signal 'unused' is never read + at line 233 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/axi_addr.v:233 [compile index 1864] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ID_NUM' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/axi/rtl/caliptra_axi_sram.sv:23 [compile index 1865] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'ds' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv:26 [compile index 1871] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'qs' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv:27 [compile index 1871] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wd' to 'q' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv:28 [compile index 1871] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'we' to 'qe' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv:29 [compile index 1871] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 're' to 'qre' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/caliptra_prim/rtl/caliptra_prim_subreg_ext.sv:30 [compile index 1871] +*** Warning: SIGNAL_NEVER_USED: Signal 'init_reg' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:40 [compile index 1872] +*** Warning: SIGNAL_NEVER_USED: Signal 'iv_updated_reg' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:40 [compile index 1872] +*** Warning: SIGNAL_NEVER_USED: Signal 'next_cmd_reg' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/formal/properties/fv_constraints.sv:40 [compile index 1872] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (rst_n, clk) + at line 35 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints.sv:35 [compile index 1925] +*** Warning: PARAMETER_NEVER_USED: Parameter 'time_window' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:21 [compile index 1932] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (rst_n, clk) + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/formal/properties/fv_constraints_m.sv:37 [compile index 1932] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:29 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_INIT_BIT' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:43 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_NEXT_BIT' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:44 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_ENCDEC_BIT' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:45 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_KEYLEN_BIT' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:46 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:49 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:50 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:85 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:87 [compile index 1883] +*** Warning: SIGNAL_NEVER_READ: Signal 'temp_ctr' is never read + at line 98 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:98 [compile index 1883] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 112 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:112 [compile index 1883] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 113 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:113 [compile index 1883] +*** Warning: IMPLICIT_DECLARATION: Implicit declaration of 'cptra_obf_key' using `default_nettype set to wire + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:146 [compile index 1883] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'cptra_obf_key' is never written (connected to sub-instance input port) + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:146 [compile index 1883] +*** Warning: IMPLICIT_DECLARATION: Implicit declaration of 'obf_field_entropy' using `default_nettype set to wire + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:147 [compile index 1883] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'obf_field_entropy' is never written (connected to sub-instance input port) + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:147 [compile index 1883] +*** Warning: IMPLICIT_DECLARATION: Implicit declaration of 'obf_uds_seed' using `default_nettype set to wire + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:148 [compile index 1883] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'obf_uds_seed' is never written (connected to sub-instance input port) + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_cbc_tb.sv:148 [compile index 1883] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:29 [compile index 1884] +*** Warning: SIGNAL_NEVER_READ: Signal 'temp_ctr' is never read + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:47 [compile index 1884] +*** Warning: SIGNAL_NEVER_READ: Signal 'core_valid_tb' is never read (connected to sub-instance output port) + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_core_cbc_tb.sv:63 [compile index 1884] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:29 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_INIT_BIT' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:43 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_NEXT_BIT' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:44 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_ENCDEC_BIT' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:45 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_KEYLEN_BIT' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:46 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:49 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:50 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_NONSEQ' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:81 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:82 [compile index 1885] +*** Warning: SIGNAL_NEVER_READ: Signal 'hadrr_i_tb' is never read + at line 97 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:97 [compile index 1885] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:105 [compile index 1885] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_32bit_tb.sv:106 [compile index 1885] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:29 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_INIT_BIT' is never used + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:41 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_NEXT_BIT' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:42 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_ENCDEC_BIT' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:43 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_KEYLEN_BIT' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:44 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_STATUS' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:46 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:47 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:48 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_NONSEQ' is never used + at line 71 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:71 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:72 [compile index 1886] +*** Warning: SIGNAL_NEVER_READ: Signal 'hadrr_i_tb' is never read + at line 87 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:87 [compile index 1886] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:95 [compile index 1886] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_64bit_tb.sv:96 [compile index 1886] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:29 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_INIT_BIT' is never used + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:43 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_NEXT_BIT' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:44 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_ENCDEC_BIT' is never used + at line 45 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:45 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_KEYLEN_BIT' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:46 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:49 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:50 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 80 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:80 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:82 [compile index 1887] +*** Warning: SIGNAL_NEVER_READ: Signal 'temp_ctr' is never read + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:93 [compile index 1887] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:106 [compile index 1887] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 107 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_ctrl_tb.sv:107 [compile index 1887] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_NAME' is never used + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:52 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_VERSION' is never used + at line 53 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:53 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_INIT_BIT' is never used + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:56 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_NEXT_BIT' is never used + at line 57 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:57 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_ENCDEC_BIT' is never used + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:58 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'CTRL_KEYLEN_BIT' is never used + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:59 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_STATUS' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:61 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:62 [compile index 1888] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/doe/tb/doe_tb.v:63 [compile index 1888] +*** Warning: SIGNAL_NEVER_USED: Signal 'ecc_cmd_i' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:37 [compile index 1896] +*** Warning: SIGNAL_NEVER_USED: Signal 'sca_en_i' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_arith_unit.sv:38 [compile index 1896] +*** Warning: PARAMETER_NEVER_USED: Parameter 'ADDR_LENGTH' is never used + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:42 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'sym_addr' is never written + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:74 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_data_wr_a' is never written + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:90 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_data_wr_b' is never written + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:91 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_data_rd_a' is never written + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:120 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_data_rd_b' is never written + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:121 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_nen_a' is never written + at line 146 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:146 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_nen_b' is never written + at line 147 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:147 [compile index 1898] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'idx_sym_nen_ab' is never written + at line 148 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_ram_tdp_file.sv:148 [compile index 1898] +*** Warning: PARAMETER_NEVER_USED: Parameter 'p_mu' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:48 [compile index 1899] +*** Warning: PARAMETER_NEVER_USED: Parameter 'q_mu' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:49 [compile index 1899] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'fv_bit_idx_p' is never written + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:93 [compile index 1899] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'fv_bit_idx_q' is never written + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_montmultiplier_glue.sv:94 [compile index 1899] +*** Warning: PARAMETER_NEVER_USED: Parameter 'RADIX' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_scalar_blinding.sv:23 [compile index 1903] +*** Warning: SIGNAL_NEVER_READ: Signal 'ready_garbage_bit' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_fau.sv:62 [compile index 1906] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DH_SHARED_CMD' is never used + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_hmac_drbg_interface.sv:93 [compile index 1907] +*** Warning: SIGNAL_NEVER_READ: Signal 'carry_garbage_bit' is never read + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe.sv:64 [compile index 1910] +*** Warning: SIGNAL_NEVER_READ: Signal 'carry_garbage_bit' is never read + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_final.sv:62 [compile index 1911] +*** Warning: SIGNAL_NEVER_READ: Signal 'carry_garbage_bit' is never read + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_pe_first.sv:81 [compile index 1912] +*** Warning: SIGNAL_NEVER_READ: Signal 'carry_garbage_bits0' is never read + at line 120 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_scalar_blinding.sv:120 [compile index 1914] +*** Warning: SIGNAL_NEVER_READ: Signal 'carry_garbage_bit1' is never read (connected to sub-instance output port) + at line 121 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/rtl/ecc_scalar_blinding.sv:121 [compile index 1914] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_n' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:23 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'hmac_init' is never used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:24 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'hmac_next' is never used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:25 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'key' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:26 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'block_msg' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:27 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha1_init' is never used + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:28 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha1_next' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:29 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha2_init' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:30 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'sha2_next' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:31 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'ctrl_reg' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:32 [compile index 1926] +*** Warning: SIGNAL_NEVER_USED: Signal 'first_round' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/formal/properties/fv_constraints_wip.sv:33 [compile index 1926] +*** Warning: SIGNAL_NEVER_READ: Signal 'H1_digest_valid' is never read (connected to sub-instance output port) + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v:92 [compile index 1929] +*** Warning: SIGNAL_NEVER_READ: Signal 'H2_digest_valid' is never read (connected to sub-instance output port) + at line 99 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac/rtl/hmac_core.v:99 [compile index 1929] +*** Warning: PARAMETER_NEVER_USED: Parameter 'SEED_SIZE' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/hmac_drbg/tb/hmac_drbg_tb.sv:32 [compile index 1935] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk_i, rst_ni) + at line 42 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/integration/test_suites/libs/jtagdpi/jtagdpi.sv:42 [compile index 1936] +*** Warning: SIGNAL_NEVER_USED: Signal 'clk' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_to_reg_adapter.sv:29 [compile index 1939] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst_n' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/ahb_to_reg_adapter.sv:30 [compile index 1939] +*** Warning: SIGNAL_NEVER_USED: Signal 'PPROT' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/apb_slv_sif.sv:26 [compile index 1940] +*** Warning: SIGNAL_NEVER_USED: Signal 'rst' is never used + at line 6 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/libs/rtl/interrupt_regs.sv:6 [compile index 1943] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dmi_addr' to 'dmi_core_addr' + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v:55 [compile index 1950] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dmi_wdata' to 'dmi_core_wdata' + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v:56 [compile index 1950] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dmi_addr' to 'dmi_uncore_addr' + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v:61 [compile index 1950] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'dmi_wdata' to 'dmi_uncore_wdata' + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_mux.v:62 [compile index 1950] +*** Warning: SIGNAL_NEVER_READ: Signal 'dmireset' is never read (connected to sub-instance output port) + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/dmi_wrapper.v:52 [compile index 1951] +*** Warning: SIGNAL_NEVER_USED: Signal 'rd_data' is never used + at line 32 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:32 [compile index 1952] +*** Warning: SIGNAL_NEVER_USED: Signal 'rd_status' is never used + at line 33 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:33 [compile index 1952] +*** Warning: SIGNAL_NEVER_USED: Signal 'idle' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:38 [compile index 1952] +*** Warning: SIGNAL_NEVER_USED: Signal 'dmi_stat' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:39 [compile index 1952] +*** Warning: SIGNAL_NEVER_USED: Signal 'version' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:40 [compile index 1952] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'nsr' is never written + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:46 [compile index 1952] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'nstate' is never written + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:51 [compile index 1952] +*** Warning: SIGNAL_NEVER_READ: Signal 'pause_dr' is never read + at line 55 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:55 [compile index 1952] +*** Warning: SIGNAL_NEVER_READ: Signal 'pause_ir' is never read + at line 59 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:59 [compile index 1952] +*** Warning: SIGNAL_NEVER_READ: Signal 'capture_ir' is never read + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:61 [compile index 1952] +*** Warning: SIGNAL_NEVER_READ: Signal 'abits' is never read + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/dmi/rvjtag_tap.v:63 [compile index 1952] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'compressed' is never written + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:20 [compile index 1953] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'expected' is never written + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:21 [compile index 1953] +*** Warning: SIGNAL_NEVER_READ: Signal 'rst_l' is never read + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:24 [compile index 1953] +*** Warning: SIGNAL_NEVER_USED: Signal 'i' is never used + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:38 [compile index 1953] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk' is not an input port + at line 56 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:56 [compile index 1953] +*** Warning: SIGNAL_NOT_RESET: Signal 'rst_l' is not reset + at line 58 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:58 [compile index 1953] +*** Warning: SIGNAL_NOT_RESET: Signal 'compressed_din' is not reset + at line 63 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:63 [compile index 1953] +*** Warning: SIGNAL_NOT_RESET: Signal 'expected_val' is not reset + at line 64 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:64 [compile index 1953] +*** Warning: INTERNAL_GENERATED_RESET: Reset signal 'clk_count' is not an input port + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:68 [compile index 1953] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk' is not an input port + at line 74 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/riscv_core/veer_el2/rtl/ifu/el2_ifu_tb_memread.sv:74 [compile index 1953] +*** Warning: SIGNAL_NEVER_USED: Signal 'mode' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_constraints.sv:21 [compile index 1954] +*** Warning: SIGNAL_NEVER_USED: Signal 'mode' is never used + at line 20 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/formal/properties/fv_sha256_core_constraints.sv:20 [compile index 1956] +*** Warning: SIGNAL_NEVER_READ: Signal 'sha256_err' is never read (connected to sub-instance output port) + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/rtl/sha256_ctrl.sv:61 [compile index 1959] +*** Warning: PARAMETER_NEVER_USED: Parameter 'DEBUG' is never used + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:29 [compile index 1962] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 46 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:46 [compile index 1962] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 47 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:47 [compile index 1962] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_BUSY' is never used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:79 [compile index 1962] +*** Warning: PARAMETER_NEVER_USED: Parameter 'AHB_HTRANS_SEQ' is never used + at line 81 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:81 [compile index 1962] +*** Warning: SIGNAL_NEVER_READ: Signal 'hresp_o_tb' is never read (connected to sub-instance output port) + at line 105 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:105 [compile index 1962] +*** Warning: SIGNAL_NEVER_READ: Signal 'hreadyout_o_tb' is never read (connected to sub-instance output port) + at line 106 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_ctrl_tb.sv:106 [compile index 1962] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_READY_BIT' is never used + at line 61 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:61 [compile index 1963] +*** Warning: PARAMETER_NEVER_USED: Parameter 'STATUS_VALID_BIT' is never used + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:62 [compile index 1963] +*** Warning: SIGNAL_NEVER_READ: Signal 'tb_reset_n' is never read + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:90 [compile index 1963] +*** Warning: SIGNAL_NEVER_READ: Signal 'tb_cs' is never read + at line 91 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:91 [compile index 1963] +*** Warning: SIGNAL_NEVER_READ: Signal 'tb_we' is never read + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:92 [compile index 1963] +*** Warning: SIGNAL_NEVER_READ: Signal 'tb_address' is never read + at line 93 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:93 [compile index 1963] +*** Warning: SIGNAL_NEVER_READ: Signal 'tb_write_data' is never read + at line 94 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:94 [compile index 1963] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'tb_read_data' is never written + at line 95 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:95 [compile index 1963] +*** Warning: SIGNAL_NEVER_USED: Signal 'tb_error' is never used + at line 96 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha256/tb/sha256_tb.v:96 [compile index 1963] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready' is never used + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv:26 [compile index 1971] +*** Warning: SIGNAL_NEVER_USED: Signal 'digest_valid' is never used + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv:27 [compile index 1971] +*** Warning: SIGNAL_NEVER_USED: Signal 'digest' is never used + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv:30 [compile index 1971] +*** Warning: SIGNAL_NEVER_USED: Signal 'mode' is never used + at line 31 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv:31 [compile index 1971] +*** Warning: MULTIPLE_CLOCKS_BLOCK: Procedural block driven by multiple clocks (clk, reset_n) + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/formal/properties/fv_constraints.sv:38 [compile index 1971] +*** Warning: SIGNAL_NEVER_READ: Signal 'valid_tb' is never read (connected to sub-instance output port) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/sha512_masked/tb/sha512_masked_core_tb.sv:60 [compile index 1973] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalPPTyp' is never used + at line 66 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:66 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalPPMax' is never used + at line 67 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:67 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalSETyp' is never used + at line 69 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:69 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalSEMax' is never used + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:70 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalBE32Typ' is never used + at line 72 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:72 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalBE32Max' is never used + at line 73 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:73 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalBE64Typ' is never used + at line 75 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:75 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalBE64Max' is never used + at line 76 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:76 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalCETyp' is never used + at line 78 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:78 [compile index 1981] +*** Warning: PARAMETER_NEVER_USED: Parameter 'LocalCEMax' is never used + at line 79 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:79 [compile index 1981] +*** Warning: SIGNAL_NEVER_USED: Signal 'page_buffer' is never used + at line 128 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:128 [compile index 1981] +*** Warning: SIGNAL_NEVER_USED: Signal 'page_buffer_size' is never used + at line 129 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/spi_host/tb/spiflash.sv:129 [compile index 1981] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 70 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_A2B_conv.sv:70 [compile index 1988] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 92 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_A2B_conv.sv:92 [compile index 1988] +*** Warning: SIGNAL_NEVER_USED: Signal 's_reg' is never used + at line 40 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Arith_adder.sv:40 [compile index 1991] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 68 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_adder.sv:68 [compile index 1992] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 90 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_adder.sv:90 [compile index 1992] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 62 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_sub.sv:62 [compile index 1993] +*** Warning: SIGNAL_NOT_RESET: Signal 'j' is not reset + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_Boolean_sub.sv:84 [compile index 1993] +*** Warning: SIGNAL_NEVER_USED: Signal 'x0' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv:49 [compile index 1995] +*** Warning: SIGNAL_NEVER_USED: Signal 'x1' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv:49 [compile index 1995] +*** Warning: SIGNAL_NEVER_USED: Signal 'y0' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv:49 [compile index 1995] +*** Warning: SIGNAL_NEVER_USED: Signal 'y1' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_masked_N_bit_mult_two_share.sv:49 [compile index 1995] +*** Warning: PARAMETER_NEVER_USED: Parameter 'OPT_BUFFER_DEPTH' is never used + at line 25 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_libs/rtl/abr_sample_buffer.sv:25 [compile index 2001] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'ds' + at line 26 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:26 [compile index 2013] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'd' to 'qs' + at line 27 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:27 [compile index 2013] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'wd' to 'q' + at line 28 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:28 [compile index 2013] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 'we' to 'qe' + at line 29 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:29 [compile index 2013] +*** Warning: FEEDTHROUGH_DETECTED: Feedthrough from 're' to 'qre' + at line 30 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/abr_prim/rtl/abr_prim_subreg_ext.sv:30 [compile index 2013] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready_o' is never used + at line 36 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:36 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'z' is never used + at line 39 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:39 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready' is never used + at line 48 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:48 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready_e' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:49 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'ready_g_reduced' is never used + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:49 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'enable_reg' is never used + at line 50 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:50 [compile index 2019] +*** Warning: SIGNAL_NEVER_USED: Signal 'push_result_reg' is never used + at line 51 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/rtl/ntt_mult_reduction.sv:51 [compile index 2019] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk' is not an input port + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_auto_tb.sv:84 [compile index 2021] +*** Warning: SIGNAL_NEVER_USED: Signal 'x' is never used + at line 37 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:37 [compile index 2022] +*** Warning: SIGNAL_NEVER_READ: Signal 'y' is never read + at line 38 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:38 [compile index 2022] +*** Warning: SIGNAL_NEVER_READ: Signal 'z_45_23' is never read + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:43 [compile index 2022] +*** Warning: SIGNAL_NEVER_READ: Signal 'res_22_0' is never read + at line 49 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:49 [compile index 2022] +*** Warning: SIGNAL_NEVER_USED: Signal 'input_queue' is never used + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:60 [compile index 2022] +*** Warning: INTERNAL_GENERATED_CLOCK: Clock signal 'clk' is not an input port + at line 82 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_masked_mult_redux46_tb.sv:82 [compile index 2022] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'zeroize_tb' is never written (connected to sub-instance input port) + at line 41 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:41 [compile index 2023] +*** Warning: SIGNAL_NEVER_USED: Signal 'bf_ready_tb' is never used + at line 44 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:44 [compile index 2023] +*** Warning: IMPLICIT_DECLARATION: Implicit declaration of 'ready_o_tb' using `default_nettype set to wire + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:60 [compile index 2023] +*** Warning: SIGNAL_NEVER_READ: Signal 'ready_o_tb' is never read (connected to sub-instance output port) + at line 60 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_mult_reduction_tb.sv:60 [compile index 2023] +*** Warning: SIGNAL_NEVER_WRITTEN: Signal 'mem_tb' is never written + at line 52 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/ntt_top/tb/ntt_ram_tdp_file.sv:52 [compile index 2024] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q' is never used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_core.sv:24 [compile index 2028] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REG_SIZE' is never used + at line 23 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_skencode.sv:23 [compile index 2029] +*** Warning: PARAMETER_NEVER_USED: Parameter 'MLDSA_Q' is never used + at line 24 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/power2round/rtl/power2round_skencode.sv:24 [compile index 2029] +*** Warning: PARAMETER_NEVER_USED: Parameter 'REJ_SAMPLE_W' is never used + at line 21 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/rej_bounded/rtl/rej_bounded2.sv:21 [compile index 2030] +*** Warning: SIGNAL_NEVER_READ: Signal 'eta_minus_data' is never read + at line 43 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/submodules/adams-bridge/src/sk_decode/rtl/skdecode_s1s2_unpack.sv:43 [compile index 2036] +*** Warning: SIGNAL_NEVER_READ: Signal 'fv_hmac_drbg_result_reg' is never read + at line 77 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv:77 [compile index 2059] +*** Warning: SIGNAL_NOT_RESET: Signal 'fv_hmac_drbg_valid_reg' is not reset + at line 84 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv:84 [compile index 2059] +*** Warning: SIGNAL_NOT_RESET: Signal 'fv_hmac_drbg_result_reg' is not reset + at line 85 in /home/ws/caliptra/michnorris/adams_bridge_mjn/chipsalliance/caliptra-rtl/src/ecc/formal/properties/fv_ecc_hmac_drbg_interface.sv:85 [compile index 2059] +*** Done mixed mode extension build [23s.635ms] *** +*** Total build time [57s.685ms] *** diff --git a/src/axi/rtl/axi_dma_ctrl.sv b/src/axi/rtl/axi_dma_ctrl.sv index c14c963de..4686ca861 100644 --- a/src/axi/rtl/axi_dma_ctrl.sv +++ b/src/axi/rtl/axi_dma_ctrl.sv @@ -19,7 +19,6 @@ module axi_dma_ctrl import axi_pkg::*; import soc_ifc_pkg::*; -import mbox_pkg::*; #( parameter AW = 64, parameter DW = 32, // Data Width @@ -355,7 +354,7 @@ import mbox_pkg::*; endcase cmd_inv_byte_count = |hwif_out.byte_count.count.value[BW-1:0] || (hwif_out.byte_count.count.value > DMA_MAX_XFER_SIZE) || - (hwif_out.byte_count.count.value > MBOX_SIZE_BYTES && + (hwif_out.byte_count.count.value > CPTRA_MBOX_SIZE_BYTES && ((hwif_out.ctrl.rd_route.value == axi_dma_reg__ctrl__rd_route__rd_route_e__MBOX) || (hwif_out.ctrl.wr_route.value == axi_dma_reg__ctrl__wr_route__wr_route_e__MBOX))); // power of 2 and word-aligned @@ -717,7 +716,7 @@ import mbox_pkg::*; `CALIPTRA_ASSERT(AXI_DMA_VLD_WR_REQ_BND, wr_req_hshake |-> w_req_if.addr[AW-1:AXI_LEN_BC_WIDTH] == ((w_req_if.addr + w_req_if.byte_len) >> AXI_LEN_BC_WIDTH), clk, !rst_n) // Proper configuration `CALIPTRA_ASSERT_INIT(AXI_DMA_DW_32, DW == 32) - `CALIPTRA_ASSERT_INIT(AXI_DMA_DW_EQ_MB, DW == MBOX_DATA_W) + `CALIPTRA_ASSERT_INIT(AXI_DMA_DW_EQ_MB, DW == CPTRA_MBOX_DATA_W) // FIFO must have space for all requested data `CALIPTRA_ASSERT(AXI_DMA_LIM_RD_CRED, rd_credits <= FIFO_BC/BC, clk, !rst_n) `CALIPTRA_ASSERT(AXI_DMA_OFL_RD_CRED, rd_req_hshake |-> rd_req_byte_count <= FIFO_BC, clk, !rst_n) diff --git a/src/integration/rtl/caliptra_top.sv b/src/integration/rtl/caliptra_top.sv index eb3ac45eb..7e4af19f9 100755 --- a/src/integration/rtl/caliptra_top.sv +++ b/src/integration/rtl/caliptra_top.sv @@ -21,7 +21,6 @@ module caliptra_top import kv_defines_pkg::*; import pv_defines_pkg::*; import soc_ifc_pkg::*; - import mbox_pkg::*; import lc_ctrl_state_pkg::*; import lc_ctrl_reg_pkg::*; import lc_ctrl_pkg::*; @@ -60,9 +59,9 @@ module caliptra_top //SRAM interface for mbox output logic mbox_sram_cs, output logic mbox_sram_we, - output logic [MBOX_ADDR_W-1:0] mbox_sram_addr, - output logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata, - input logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata, + output logic [CPTRA_MBOX_ADDR_W-1:0] mbox_sram_addr, + output logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata, + input logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata, //SRAM interface for imem output logic imem_cs, @@ -253,8 +252,8 @@ module caliptra_top pcr_signing_t pcr_signing_data; //mailbox sram gasket - mbox_sram_req_t mbox_sram_req; - mbox_sram_resp_t mbox_sram_resp; + cptra_mbox_sram_req_t mbox_sram_req; + cptra_mbox_sram_resp_t mbox_sram_resp; logic clear_obf_secrets; logic scan_mode_switch; diff --git a/src/integration/tb/caliptra_top_tb.sv b/src/integration/tb/caliptra_top_tb.sv index 0ed39772c..7e8d32ee1 100755 --- a/src/integration/tb/caliptra_top_tb.sv +++ b/src/integration/tb/caliptra_top_tb.sv @@ -30,7 +30,6 @@ module caliptra_top_tb ( import axi_pkg::*; import soc_ifc_pkg::*; - import mbox_pkg::*; import caliptra_top_tb_pkg::*; `ifndef VERILATOR @@ -95,9 +94,9 @@ module caliptra_top_tb ( logic mailbox_data_avail; logic mbox_sram_cs; logic mbox_sram_we; - logic [MBOX_ADDR_W-1:0] mbox_sram_addr; - logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata; - logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata; + logic [CPTRA_MBOX_ADDR_W-1:0] mbox_sram_addr; + logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata; + logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata; logic imem_cs; logic [`CALIPTRA_IMEM_ADDR_WIDTH-1:0] imem_addr; diff --git a/src/integration/tb/caliptra_top_tb_pkg.sv b/src/integration/tb/caliptra_top_tb_pkg.sv index 3e8376b3c..9ea5a4db4 100644 --- a/src/integration/tb/caliptra_top_tb_pkg.sv +++ b/src/integration/tb/caliptra_top_tb_pkg.sv @@ -15,12 +15,11 @@ package caliptra_top_tb_pkg; import soc_ifc_pkg::*; -import mbox_pkg::*; `ifndef VERILATOR -class bitflip_mask_generator #(int MBOX_DATA_AND_ECC_W = 39); +class bitflip_mask_generator #(int CPTRA_MBOX_DATA_AND_ECC_W = 39); - rand logic [MBOX_DATA_AND_ECC_W-1:0] rand_sram_bitflip_mask; + rand logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] rand_sram_bitflip_mask; logic do_double_bitflip; constraint bitflip_c { if (do_double_bitflip) { @@ -35,7 +34,7 @@ class bitflip_mask_generator #(int MBOX_DATA_AND_ECC_W = 39); this.do_double_bitflip = 1'b0; endfunction - function logic [MBOX_DATA_AND_ECC_W-1:0] get_mask(bit do_double_bit = 1'b0); + function logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] get_mask(bit do_double_bit = 1'b0); this.do_double_bitflip = do_double_bit; this.randomize(); return this.rand_sram_bitflip_mask; @@ -43,8 +42,8 @@ class bitflip_mask_generator #(int MBOX_DATA_AND_ECC_W = 39); endclass `else -function static logic [mbox_pkg::MBOX_DATA_AND_ECC_W-1:0] get_bitflip_mask(bit do_double_bit = 1'b0); - return 2<<($urandom%(mbox_pkg::MBOX_DATA_AND_ECC_W-2)) | mbox_pkg::MBOX_DATA_AND_ECC_W'(do_double_bit); +function static logic [soc_ifc_pkg::CPTRA_MBOX_DATA_AND_ECC_W-1:0] get_bitflip_mask(bit do_double_bit = 1'b0); + return 2<<($urandom%(soc_ifc_pkg::CPTRA_MBOX_DATA_AND_ECC_W-2)) | soc_ifc_pkg::CPTRA_MBOX_DATA_AND_ECC_W'(do_double_bit); endfunction `endif diff --git a/src/integration/tb/caliptra_top_tb_services.sv b/src/integration/tb/caliptra_top_tb_services.sv index fbe2ea1fb..5581934c6 100644 --- a/src/integration/tb/caliptra_top_tb_services.sv +++ b/src/integration/tb/caliptra_top_tb_services.sv @@ -34,8 +34,7 @@ module caliptra_top_tb_services - import soc_ifc_pkg::*; - import mbox_pkg::*; + import soc_ifc_pkg::*; import kv_defines_pkg::*; import caliptra_top_tb_pkg::*; #( @@ -51,9 +50,9 @@ module caliptra_top_tb_services //SRAM interface for mbox input wire logic mbox_sram_cs, input wire logic mbox_sram_we, - input wire logic [MBOX_ADDR_W-1:0] mbox_sram_addr, - input wire logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata, - output wire logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata, + input wire logic [CPTRA_MBOX_ADDR_W-1:0] mbox_sram_addr, + input wire logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata, + output wire logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_rdata, //SRAM interface for imem input wire logic imem_cs, @@ -141,7 +140,7 @@ module caliptra_top_tb_services string abi_reg[32]; // ABI register names - logic [MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata_bitflip; + logic [CPTRA_MBOX_DATA_AND_ECC_W-1:0] mbox_sram_wdata_bitflip; int cycleCntKillReq; int cycleCnt_ff; @@ -1386,7 +1385,7 @@ endgenerate //IV_NO `ifndef VERILATOR initial begin - automatic bitflip_mask_generator #(MBOX_DATA_AND_ECC_W) bitflip_gen = new(); + automatic bitflip_mask_generator #(CPTRA_MBOX_DATA_AND_ECC_W) bitflip_gen = new(); forever begin @(posedge clk) if (~|inject_mbox_sram_error) begin @@ -1663,8 +1662,8 @@ caliptra_veer_sram_export veer_sram_export_inst ( //SRAM for mbox (preload raw data here) caliptra_sram #( - .DATA_WIDTH(MBOX_DATA_W), - .DEPTH (MBOX_DEPTH ) + .DATA_WIDTH(CPTRA_MBOX_DATA_W), + .DEPTH (CPTRA_MBOX_DEPTH ) ) dummy_mbox_preloader ( @@ -1680,8 +1679,8 @@ dummy_mbox_preloader // dummy_mbox_preloader with ECC bits appended caliptra_sram #( - .DATA_WIDTH(MBOX_DATA_AND_ECC_W), - .DEPTH (MBOX_DEPTH ) + .DATA_WIDTH(CPTRA_MBOX_DATA_AND_ECC_W), + .DEPTH (CPTRA_MBOX_DEPTH ) ) mbox_ram1 ( @@ -1751,10 +1750,10 @@ caliptra_sram #( //=========================================================================- task static preload_mbox; // Variables - mbox_sram_data_t ecc_data; - bit [MBOX_ADDR_W:0] addr; + cptra_mbox_sram_data_t ecc_data; + bit [CPTRA_MBOX_ADDR_W:0] addr; int byt; - localparam NUM_BYTES = MBOX_DATA_AND_ECC_W / 8 + ((MBOX_DATA_AND_ECC_W%8) ? 1 : 0); + localparam NUM_BYTES = CPTRA_MBOX_DATA_AND_ECC_W / 8 + ((CPTRA_MBOX_DATA_AND_ECC_W%8) ? 1 : 0); // Init `ifndef VERILATOR @@ -1762,8 +1761,8 @@ task static preload_mbox; `endif // Slam - $display("MBOX pre-load from %h to %h", 0, MBOX_DEPTH); - for (addr = 0; addr < MBOX_DEPTH; addr++) begin + $display("MBOX pre-load from %h to %h", 0, CPTRA_MBOX_DEPTH); + for (addr = 0; addr < CPTRA_MBOX_DEPTH; addr++) begin ecc_data.data = {dummy_mbox_preloader.ram[addr][3], dummy_mbox_preloader.ram[addr][2], dummy_mbox_preloader.ram[addr][1], diff --git a/src/integration/test_suites/includes/caliptra_defines.h b/src/integration/test_suites/includes/caliptra_defines.h index 22030fbfd..d2639c6cd 100644 --- a/src/integration/test_suites/includes/caliptra_defines.h +++ b/src/integration/test_suites/includes/caliptra_defines.h @@ -73,7 +73,7 @@ #define HMAC512_MODE 0x1 /* ---- Mailbox ---- */ -#define MBOX_DIR_SPAN CLP_MBOX_SRAM_BASE_ADDR - CLP_MBOX_SRAM_END_ADDR + 1 /* 256 KiB */ +#define MBOX_DIR_SPAN CLP_MBOX_SRAM_END_ADDR - CLP_MBOX_SRAM_BASE_ADDR + 1 /* 256 KiB */ #define STDOUT CLP_SOC_IFC_REG_CPTRA_GENERIC_OUTPUT_WIRES_0 /* ---- ECC ----*/ diff --git a/src/soc_ifc/coverage/soc_ifc_cov_if.sv b/src/soc_ifc/coverage/soc_ifc_cov_if.sv index f333dbb66..6a08acf95 100644 --- a/src/soc_ifc/coverage/soc_ifc_cov_if.sv +++ b/src/soc_ifc/coverage/soc_ifc_cov_if.sv @@ -111,8 +111,8 @@ interface soc_ifc_cov_if input wire timer_intr, //SRAM interface - input mbox_sram_req_t mbox_sram_req, - input mbox_sram_resp_t mbox_sram_resp, + input cptra_mbox_sram_req_t mbox_sram_req, + input cptra_mbox_sram_resp_t mbox_sram_resp, // RV ECC Status Interface input rv_ecc_sts_t rv_ecc_sts, @@ -368,9 +368,9 @@ interface soc_ifc_cov_if dlen_in_dws_cp: coverpoint i_mbox.dlen_in_dws { bins zero = {0}; bins one = {1}; - bins range[32] = {[2:MBOX_SIZE_DWORDS-2]}; - bins almost_full = {MBOX_SIZE_DWORDS-1}; - bins full = {MBOX_SIZE_DWORDS};} + bins range[32] = {[2:CPTRA_MBOX_SIZE_DWORDS-2]}; + bins almost_full = {CPTRA_MBOX_SIZE_DWORDS-1}; + bins full = {CPTRA_MBOX_SIZE_DWORDS};} sram_single_ecc_error_cp: coverpoint i_mbox.sram_single_ecc_error; sram_double_ecc_error_cp: coverpoint i_mbox.sram_double_ecc_error; @@ -382,7 +382,7 @@ interface soc_ifc_cov_if sha_sram_hold_cp: coverpoint i_mbox.sha_sram_hold; //special scenarios - only care about bin of 1 - dlen_gt_mbox_size_cp: coverpoint i_mbox.hwif_out.mbox_dlen.length.value > MBOX_SIZE_BYTES { + dlen_gt_mbox_size_cp: coverpoint i_mbox.hwif_out.mbox_dlen.length.value > CPTRA_MBOX_SIZE_BYTES { option.comment = "DLEN is programmed greater than mailbox size"; bins one = {1};} req_wrptr_gt_dlen_cp: coverpoint (mbox_fsm_ps == MBOX_RDY_FOR_DATA) & (i_mbox.mbox_wrptr > i_mbox.dlen_in_dws) { @@ -397,7 +397,7 @@ interface soc_ifc_cov_if rdptr_gt_dlen_cp: coverpoint i_mbox.inc_rdptr & ~(i_mbox.mbox_rdptr <= i_mbox.dlen_in_dws) { option.comment = "Read pointer tried to increment passed DLEN"; bins one = {1};} - rdptr_rollover_cp: coverpoint i_mbox.inc_rdptr & ~(i_mbox.mbox_rdptr < (MBOX_SIZE_DWORDS-1)) { + rdptr_rollover_cp: coverpoint i_mbox.inc_rdptr & ~(i_mbox.mbox_rdptr < (CPTRA_MBOX_SIZE_DWORDS-1)) { option.comment = "Read pointer tried to increment passed mailbox size"; bins one = {1};} diff --git a/src/soc_ifc/rtl/mbox.sv b/src/soc_ifc/rtl/mbox.sv index 0368f2a0b..08c28216b 100644 --- a/src/soc_ifc/rtl/mbox.sv +++ b/src/soc_ifc/rtl/mbox.sv @@ -19,16 +19,35 @@ module mbox import mbox_csr_pkg::*; #( parameter DMI_REG_MBOX_DLEN_ADDR = 7'h50 + //Mailbox interface configuration + ,parameter MBOX_IFC_DATA_W = 32 + ,parameter MBOX_IFC_USER_W = 32 + ,parameter MBOX_IFC_ADDR_W = 32 + //Mailbox size configuration + ,parameter MBOX_SIZE_KB = 256 + ,parameter MBOX_DATA_W = 32 + ,parameter MBOX_ECC_DATA_W = 7 + ,localparam MBOX_SIZE_BYTES = MBOX_SIZE_KB * 1024 + ,localparam MBOX_SIZE_DWORDS = MBOX_SIZE_BYTES/4 + ,localparam MBOX_DATA_AND_ECC_W = MBOX_DATA_W + MBOX_ECC_DATA_W + ,localparam MBOX_DEPTH = (MBOX_SIZE_KB * 1024 * 8) / MBOX_DATA_W + ,localparam MBOX_ADDR_W = $clog2(MBOX_DEPTH) + ,localparam MBOX_DEPTH_LOG2 = $clog2(MBOX_DEPTH) ) ( input logic clk, input logic rst_b, //mailbox request - input logic req_dv, - output logic req_hold, - input logic dir_req_dv, - input mbox_req_t req_data, + input logic req_dv, + output logic req_hold, + input logic dir_req_dv, + input logic [MBOX_IFC_ADDR_W-1:0] req_data_addr, + input logic [MBOX_IFC_DATA_W-1:0] req_data_wdata, + input logic [MBOX_IFC_USER_W-1:0] req_data_user, + input logic req_data_write, + input logic req_data_soc_req, + output logic mbox_error, output logic [MBOX_DATA_W-1:0] rdata, @@ -36,18 +55,27 @@ module mbox input logic sha_sram_req_dv, input logic [MBOX_ADDR_W-1:0] sha_sram_req_addr, - output mbox_sram_resp_t sha_sram_resp, + output logic [MBOX_ECC_DATA_W-1:0] sha_sram_resp_ecc, + output logic [MBOX_DATA_W-1:0] sha_sram_resp_data, output logic sha_sram_hold, // Throttle the SRAM requests when writing corrected ECC + //dma req input logic dma_sram_req_dv, - input mbox_req_t dma_sram_req_data, - output logic [MBOX_DATA_W-1:0] dma_sram_rdata, + input logic dma_sram_req_write, + input logic [MBOX_IFC_ADDR_W-1:0] dma_sram_req_addr, + input logic [MBOX_IFC_DATA_W-1:0] dma_sram_req_wdata, + output logic [MBOX_IFC_DATA_W-1:0] dma_sram_rdata, output logic dma_sram_hold, // Throttle the SRAM requests when SHA accel has access. output logic dma_sram_error, //SRAM interface - output mbox_sram_req_t mbox_sram_req, - input mbox_sram_resp_t mbox_sram_resp, + output logic mbox_sram_req_cs, + output logic mbox_sram_req_we, + output logic [MBOX_ADDR_W-1:0] mbox_sram_req_addr, + output logic [MBOX_ECC_DATA_W-1:0] mbox_sram_req_ecc, + output logic [MBOX_DATA_W-1:0] mbox_sram_req_wdata, + input logic [MBOX_ECC_DATA_W-1:0] mbox_sram_resp_ecc, + input logic [MBOX_DATA_W-1:0] mbox_sram_resp_data, // ECC Status output logic sram_single_ecc_error, @@ -164,15 +192,15 @@ assign tap_mode = hwif_out.tap_mode.enabled.value; //1) uC requests are valid if uc has lock //2) SoC requests are valid if soc has lock and it's the AXI ID that locked it always_comb valid_requester = hwif_out.mbox_lock.lock.value & - ((~req_data.soc_req & (~soc_has_lock || (mbox_fsm_ps == MBOX_EXECUTE_UC))) | - ( req_data.soc_req & soc_has_lock & (req_data.user == hwif_out.mbox_user.user.value[MBOX_IFC_USER_W-1:0]))); + ((~req_data_soc_req & (~soc_has_lock || (mbox_fsm_ps == MBOX_EXECUTE_UC))) | + ( req_data_soc_req & soc_has_lock & (req_data_user == hwif_out.mbox_user.user.value[MBOX_IFC_USER_W-1:0]))); //Determine if this is a valid request from the receiver side always_comb valid_receiver = hwif_out.mbox_lock.lock.value & //Receiver is valid when in their execute state //if they don't have the lock - ((~req_data.soc_req & soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_UC )) | - ( req_data.soc_req & ~soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_SOC)) | + ((~req_data_soc_req & soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_UC )) | + ( req_data_soc_req & ~soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_SOC)) | //Receiver is valid when they are reading a response to their request (valid_requester & ((soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_SOC)) | (~soc_has_lock & (mbox_fsm_ps == MBOX_EXECUTE_UC))))); @@ -215,24 +243,24 @@ always_comb arc_FORCE_MBOX_UNLOCK = hwif_out.mbox_unlock.unlock.value; // by writing to mbox_status (since it's a valid_receiver). // FIXED! valid_receiver is restricted by FSM state now. always_comb arc_MBOX_RDY_FOR_CMD_MBOX_ERROR = (mbox_fsm_ps == MBOX_RDY_FOR_CMD) && - req_dv && req_data.soc_req && ~req_hold && valid_requester && - (req_data.write ? (!hwif_out.mbox_cmd.command.swmod) : + req_dv && req_data_soc_req && ~req_hold && valid_requester && + (req_data_write ? (!hwif_out.mbox_cmd.command.swmod) : (hwif_out.mbox_dataout.dataout.swacc)); always_comb arc_MBOX_RDY_FOR_DLEN_MBOX_ERROR = (mbox_fsm_ps == MBOX_RDY_FOR_DLEN) && - req_dv && req_data.soc_req && ~req_hold && valid_requester && - (req_data.write ? (!hwif_out.mbox_dlen.length.swmod) : + req_dv && req_data_soc_req && ~req_hold && valid_requester && + (req_data_write ? (!hwif_out.mbox_dlen.length.swmod) : (hwif_out.mbox_dataout.dataout.swacc)); always_comb arc_MBOX_RDY_FOR_DATA_MBOX_ERROR = (mbox_fsm_ps == MBOX_RDY_FOR_DATA) && - req_dv && req_data.soc_req && ~req_hold && valid_requester && - (req_data.write ? (!(hwif_out.mbox_datain.datain.swmod || hwif_out.mbox_execute.execute.swmod)) : + req_dv && req_data_soc_req && ~req_hold && valid_requester && + (req_data_write ? (!(hwif_out.mbox_datain.datain.swmod || hwif_out.mbox_execute.execute.swmod)) : (hwif_out.mbox_dataout.dataout.swacc)); always_comb arc_MBOX_EXECUTE_UC_MBOX_ERROR = (mbox_fsm_ps == MBOX_EXECUTE_UC) && - req_dv && req_data.soc_req && ~req_hold && valid_requester && - (req_data.write ? (1'b1/* any write by 'valid' soc is illegal here */) : + req_dv && req_data_soc_req && ~req_hold && valid_requester && + (req_data_write ? (1'b1/* any write by 'valid' soc is illegal here */) : (hwif_out.mbox_dataout.dataout.swacc)); always_comb arc_MBOX_EXECUTE_SOC_MBOX_ERROR = (mbox_fsm_ps == MBOX_EXECUTE_SOC) && - req_dv && req_data.soc_req && ~req_hold && - (req_data.write ? ((valid_requester && !(hwif_out.mbox_execute.execute.swmod)) || + req_dv && req_data_soc_req && ~req_hold && + (req_data_write ? ((valid_requester && !(hwif_out.mbox_execute.execute.swmod)) || (~soc_has_lock && !(hwif_out.mbox_status.status.swmod))) : (1'b0 /* any read allowed by SoC during this stage; dataout consumption is expected */)); always_comb arc_MBOX_EXECUTE_TAP_MBOX_ERROR = 1'b0; @@ -275,11 +303,11 @@ always_comb begin : mbox_fsm_combo MBOX_IDLE: begin if (arc_MBOX_IDLE_MBOX_RDY_FOR_CMD) begin mbox_fsm_ns = MBOX_RDY_FOR_CMD; - soc_has_lock_nxt = req_data.soc_req; //remember if soc or uc requested the lock + soc_has_lock_nxt = req_data_soc_req; //remember if soc or uc requested the lock end // Flag a non-fatal error, but don't change states, if mbox is already IDLE // when an unexpected SOC access happens - if (req_dv && req_data.soc_req && ~req_hold && (req_data.write || hwif_out.mbox_dataout.dataout.swacc)) begin + if (req_dv && req_data_soc_req && ~req_hold && (req_data_write || hwif_out.mbox_dataout.dataout.swacc)) begin mbox_protocol_error_nxt.axs_without_lock = 1'b1; end end @@ -341,8 +369,8 @@ always_comb begin : mbox_fsm_combo //only uC can write to datain here to respond to SoC MBOX_EXECUTE_UC: begin uc_mbox_data_avail = 1; - inc_rdptr = dmi_inc_rdptr | (hwif_out.mbox_dataout.dataout.swacc & ~req_data.soc_req & ~req_hold); - inc_wrptr = hwif_out.mbox_datain.datain.swmod & ~req_data.soc_req & ~req_hold; + inc_rdptr = dmi_inc_rdptr | (hwif_out.mbox_dataout.dataout.swacc & ~req_data_soc_req & ~req_hold); + inc_wrptr = hwif_out.mbox_datain.datain.swmod & ~req_data_soc_req & ~req_hold; if (arc_MBOX_EXECUTE_UC_MBOX_IDLE) begin mbox_fsm_ns = MBOX_IDLE; end @@ -373,7 +401,7 @@ always_comb begin : mbox_fsm_combo //Only SoC can write to datain here to respond to uC MBOX_EXECUTE_SOC: begin soc_mbox_data_avail = 1; - inc_rdptr = (dmi_inc_rdptr | (hwif_out.mbox_dataout.dataout.swacc & req_data.soc_req & valid_receiver & ~req_hold)); + inc_rdptr = (dmi_inc_rdptr | (hwif_out.mbox_dataout.dataout.swacc & req_data_soc_req & valid_receiver & ~req_hold)); if (arc_MBOX_EXECUTE_SOC_MBOX_IDLE) begin mbox_fsm_ns = MBOX_IDLE; end @@ -433,9 +461,9 @@ end // Any ol' AXI_USER is fine for reg-reads (except dataout) // NOTE: This only captures accesses by AXI agents that are valid, but do not // have lock. Invalid agent accesses are blocked by arbiter. -assign mbox_inv_axi_user_axs = req_dv && req_data.soc_req && !req_hold && +assign mbox_inv_axi_user_axs = req_dv && req_data_soc_req && !req_hold && !valid_requester && !valid_receiver && - (req_data.write || hwif_out.mbox_dataout.dataout.swacc); + (req_data_write || hwif_out.mbox_dataout.dataout.swacc); //increment read ptr only if its allowed @@ -463,8 +491,8 @@ always_ff @(posedge clk or negedge rst_b) begin mbox_fsm_ps <= mbox_fsm_ns; soc_has_lock <= arc_MBOX_IDLE_MBOX_RDY_FOR_CMD ? soc_has_lock_nxt : hwif_out.mbox_lock.lock.value ? soc_has_lock : '0; - dir_req_rd_phase <= dir_req_dv_q & ~sha_sram_req_dv & ~(dma_sram_req_dv_q & dma_sram_req_data.write) & ~req_data.write; - dma_sram_req_rd_phase <= dma_sram_req_dv_q & ~sha_sram_req_dv & ~dma_sram_req_data.write; + dir_req_rd_phase <= dir_req_dv_q & ~sha_sram_req_dv & ~(dma_sram_req_dv_q & dma_sram_req_write) & ~req_data_write; + dma_sram_req_rd_phase <= dma_sram_req_dv_q & ~sha_sram_req_dv & ~dma_sram_req_write; mbox_wrptr <= ((inc_wrptr & wrptr_inc_valid) | rst_mbox_wrptr) ? mbox_wrptr_nxt : mbox_wrptr; mbox_wr_full <= (inc_wrptr | rst_mbox_wrptr) ? mbox_wr_full_nxt : mbox_wr_full; mbox_rdptr <= (mbox_protocol_sram_rd) ? mbox_rdptr_nxt : mbox_rdptr; @@ -475,7 +503,7 @@ always_ff @(posedge clk or negedge rst_b) begin dlen_in_dws <= latch_dlen_in_dws ? dlen_in_dws_nxt : dlen_in_dws; mbox_protocol_error <= mbox_protocol_error_nxt; //enable ecc for mbox protocol, direct reads, or SHA direct reads - sram_rd_ecc_en <= mbox_protocol_sram_rd | (dir_req_dv_q & ~sha_sram_req_dv & ~req_data.write) | (dma_sram_req_dv_q & ~dma_sram_req_data.write) | sha_sram_req_dv; + sram_rd_ecc_en <= mbox_protocol_sram_rd | (dir_req_dv_q & ~sha_sram_req_dv & ~req_data_write) | (dma_sram_req_dv_q & ~dma_sram_req_write) | sha_sram_req_dv; end end @@ -492,10 +520,10 @@ always_comb dma_sram_req_dv_q = dma_sram_req_dv & hwif_out.mbox_lock.lock.value always_comb dir_req_dv_q = (dir_req_dv & ~dir_req_rd_phase & hwif_out.mbox_lock.lock.value & (~soc_has_lock | (mbox_fsm_ps == MBOX_EXECUTE_UC))) | (dma_sram_req_dv_q) | sha_sram_req_dv; -always_comb dir_req_wr_ph = dir_req_dv_q & ~sha_sram_req_dv & ((~dma_sram_req_dv_q & req_data.write) | (dma_sram_req_dv_q & dma_sram_req_data.write)); +always_comb dir_req_wr_ph = dir_req_dv_q & ~sha_sram_req_dv & ((~dma_sram_req_dv_q & req_data_write) | (dma_sram_req_dv_q & dma_sram_req_write)); always_comb dir_req_addr = sha_sram_req_dv ? sha_sram_req_addr : - dma_sram_req_dv_q ? dma_sram_req_data.addr[MBOX_DEPTH_LOG2+1:2] : - req_data.addr[MBOX_DEPTH_LOG2+1:2]; + dma_sram_req_dv_q ? dma_sram_req_addr[MBOX_DEPTH_LOG2+1:2] : + req_data_addr[MBOX_DEPTH_LOG2+1:2]; // Arb precedence: // SHA accelerator: highest @@ -504,13 +532,13 @@ always_comb dir_req_addr = sha_sram_req_dv ? sha_sram_req_addr : // No arbitration/round-robin -- this is strictly observed for every txn //Direct read from uC, stall 1 clock dv_q will be de-asserted second clock -always_comb req_hold = (dir_req_dv_q & ~sha_sram_req_dv & ~dma_sram_req_dv_q & ~req_data.write) | +always_comb req_hold = (dir_req_dv_q & ~sha_sram_req_dv & ~dma_sram_req_dv_q & ~req_data_write) | //Direct access from uC while sha accelerator or DMA is accessing (dir_req_dv & ~dir_req_rd_phase & (sha_sram_req_dv | dma_sram_req_dv_q | dma_sram_req_rd_phase)) | //in an update cycle for dataout register (hwif_out.mbox_dataout.dataout.swacc & mbox_protocol_sram_rd_f); -always_comb dma_sram_hold = (sha_sram_req_dv && !dma_sram_req_rd_phase) || (dma_sram_req_dv_q && !dma_sram_req_data.write); +always_comb dma_sram_hold = (sha_sram_req_dv && !dma_sram_req_rd_phase) || (dma_sram_req_dv_q && !dma_sram_req_write); always_comb sha_sram_hold = 1'b0; //SRAM interface @@ -529,15 +557,16 @@ always_comb dma_sram_error = 1'b0; // TODO: ecc error? always_comb begin: mbox_sram_inf //read live on direct access, or when pointer has been incremented, for pre-load on read pointer reset, or ecc correction - mbox_sram_req.cs = dir_req_dv_q | mbox_protocol_sram_we | mbox_protocol_sram_rd; - mbox_sram_req.we = sram_we; - mbox_sram_req.addr = sram_we ? sram_waddr : sram_rdaddr; - mbox_sram_req.wdata.data = sram_wdata; - mbox_sram_req.wdata.ecc = sram_wdata_ecc; - - sram_rdata = mbox_sram_resp.rdata.data; - sram_rdata_ecc = mbox_sram_resp.rdata.ecc; - sha_sram_resp = '{rdata: '{ecc:sram_rdata_cor_ecc , data:sram_rdata_cor}}; + mbox_sram_req_cs = dir_req_dv_q | mbox_protocol_sram_we | mbox_protocol_sram_rd; + mbox_sram_req_we = sram_we; + mbox_sram_req_addr = sram_we ? sram_waddr : sram_rdaddr; + mbox_sram_req_wdata = sram_wdata; + mbox_sram_req_ecc = sram_wdata_ecc; + + sram_rdata = mbox_sram_resp_data; + sram_rdata_ecc = mbox_sram_resp_ecc; + sha_sram_resp_ecc = sram_rdata_cor_ecc; + sha_sram_resp_data = sram_rdata_cor; end // From RISC-V core beh_lib.sv @@ -567,8 +596,8 @@ rvecc_decode ecc_decode ( //control for sram write and read pointer //SoC access is controlled by mailbox, each subsequent read or write increments the pointer //uC accesses can specify the specific read or write address, or rely on mailbox to control -always_comb sram_wdata = (dma_sram_req_dv_q && dma_sram_req_data.write ) ? dma_sram_req_data.wdata : - dmi_inc_wrptr ? dmi_reg_wdata : req_data.wdata; +always_comb sram_wdata = (dma_sram_req_dv_q && dma_sram_req_write ) ? dma_sram_req_wdata : + dmi_inc_wrptr ? dmi_reg_wdata : req_data_wdata; //in ready for data state we increment the pointer each time we write always_comb mbox_wrptr_nxt = rst_mbox_wrptr ? '0 : @@ -586,13 +615,13 @@ always_comb mbox_rd_full_nxt = rst_mbox_rdptr ? '0 : inc_rdptr & (mbox_rdptr == //Intterupts //Notify uC when it has the lock and SoC is requesting the lock -always_comb soc_req_mbox_lock = hwif_out.mbox_lock.lock.value & ~soc_has_lock & hwif_out.mbox_lock.lock.swmod & req_data.soc_req; +always_comb soc_req_mbox_lock = hwif_out.mbox_lock.lock.value & ~soc_has_lock & hwif_out.mbox_lock.lock.swmod & req_data_soc_req; always_comb hwif_in.cptra_rst_b = rst_b; -always_comb hwif_in.mbox_user.user.next = 32'(req_data.user); +always_comb hwif_in.mbox_user.user.next = 32'(req_data_user); always_comb hwif_in.mbox_status.mbox_fsm_ps.next = mbox_fsm_ps; -always_comb hwif_in.soc_req = req_data.soc_req; +always_comb hwif_in.soc_req = req_data_soc_req; //check the requesting ID: //don't update mailbox data if lock hasn't been acquired //if uc has the lock, check that this request is from uc @@ -646,9 +675,9 @@ mbox_csr1( .rst('0), .s_cpuif_req(req_dv), - .s_cpuif_req_is_wr(req_data.write), - .s_cpuif_addr(req_data.addr[MBOX_CSR_ADDR_WIDTH-1:0]), - .s_cpuif_wr_data(req_data.wdata), + .s_cpuif_req_is_wr(req_data_write), + .s_cpuif_addr(req_data_addr[MBOX_CSR_ADDR_WIDTH-1:0]), + .s_cpuif_wr_data(req_data_wdata), .s_cpuif_wr_biten('1), // FIXME .s_cpuif_req_stall_wr(s_cpuif_req_stall_wr_nc), .s_cpuif_req_stall_rd(s_cpuif_req_stall_rd_nc), @@ -664,6 +693,6 @@ mbox_csr1( `CALIPTRA_ASSERT_MUTEX(ERR_MBOX_ACCESS_MUTEX, {dir_req_dv_q , mbox_protocol_sram_we , mbox_protocol_sram_rd }, clk, !rst_b) //`CALIPTRA_ASSERT_MUTEX(ERR_MBOX_DIR_SHA_COLLISION, {dir_req_dv, sha_sram_req_dv}, clk, !rst_b) -`CALIPTRA_ASSERT_NEVER(ERR_MBOX_DIR_REQ_FROM_SOC, (dir_req_dv & req_data.soc_req), clk, !rst_b) +`CALIPTRA_ASSERT_NEVER(ERR_MBOX_DIR_REQ_FROM_SOC, (dir_req_dv & req_data_soc_req), clk, !rst_b) endmodule diff --git a/src/soc_ifc/rtl/mbox_pkg.sv b/src/soc_ifc/rtl/mbox_pkg.sv index e0c6b008f..4f239045c 100644 --- a/src/soc_ifc/rtl/mbox_pkg.sv +++ b/src/soc_ifc/rtl/mbox_pkg.sv @@ -12,27 +12,8 @@ // See the License for the specific language governing permissions and // limitations under the License. -`include "config_defines.svh" - package mbox_pkg; - //Match the mailbox client interface - parameter MBOX_IFC_DATA_W = 32; - parameter MBOX_IFC_USER_W = 32; - parameter MBOX_IFC_ADDR_W = 19; - parameter MBOX_IFC_ID_W = `CALIPTRA_AXI_ID_WIDTH; - - //Mailbox size configuration - parameter MBOX_SIZE_KB = 256; - parameter MBOX_SIZE_BYTES = MBOX_SIZE_KB * 1024; - parameter MBOX_SIZE_DWORDS = MBOX_SIZE_BYTES/4; - parameter MBOX_DATA_W = 32; - parameter MBOX_ECC_DATA_W = 7; - parameter MBOX_DATA_AND_ECC_W = MBOX_DATA_W + MBOX_ECC_DATA_W; - parameter MBOX_DEPTH = (MBOX_SIZE_KB * 1024 * 8) / MBOX_DATA_W; - parameter MBOX_ADDR_W = $clog2(MBOX_DEPTH); - parameter MBOX_DEPTH_LOG2 = $clog2(MBOX_DEPTH); - //MAILBOX FSM typedef enum logic [2:0] { MBOX_IDLE = 3'b000, @@ -53,37 +34,6 @@ package mbox_pkg; CMD_FAILURE = 4'd3 } mbox_status_e; - //Any request into mbox - typedef struct packed { - logic [MBOX_IFC_ADDR_W-1:0] addr; - logic [MBOX_IFC_DATA_W-1:0] wdata; - logic [MBOX_IFC_DATA_W/8-1:0] wstrb; - logic [MBOX_IFC_USER_W-1:0] user; - logic [MBOX_IFC_ID_W -1:0] id; - logic write; - logic soc_req; - } mbox_req_t; - - // ECC protected data - typedef struct packed { - logic [MBOX_ECC_DATA_W-1:0] ecc; - logic [MBOX_DATA_W-1:0] data; - } mbox_sram_data_t; - - //Request to mbox sram - typedef struct packed { - logic cs; - logic we; - logic [MBOX_ADDR_W-1:0] addr; - mbox_sram_data_t wdata; - } mbox_sram_req_t; - - //Response from mbox sram - typedef struct packed { - mbox_sram_data_t rdata; - } mbox_sram_resp_t; - - typedef struct packed { logic axs_without_lock; logic axs_incorrect_order; diff --git a/src/soc_ifc/rtl/sha512_acc_top.sv b/src/soc_ifc/rtl/sha512_acc_top.sv index a3a186ea6..444e28f4a 100644 --- a/src/soc_ifc/rtl/sha512_acc_top.sv +++ b/src/soc_ifc/rtl/sha512_acc_top.sv @@ -34,8 +34,8 @@ module sha512_acc_top // Direct access to mailbox output logic sha_sram_req_dv, - output logic [MBOX_ADDR_W-1:0] sha_sram_req_addr, - input mbox_sram_resp_t sha_sram_resp, + output logic [CPTRA_MBOX_ADDR_W-1:0] sha_sram_req_addr, + input cptra_mbox_sram_resp_t sha_sram_resp, input logic sha_sram_hold, // Interrupts @@ -69,8 +69,8 @@ module sha512_acc_top logic extra_pad_block_required; //extra bit for roll over on full read - logic [MBOX_ADDR_W:0] mbox_rdptr; - logic [MBOX_ADDR_W-1:0] mbox_start_addr, mbox_end_addr; + logic [CPTRA_MBOX_ADDR_W:0] mbox_rdptr; + logic [CPTRA_MBOX_ADDR_W-1:0] mbox_start_addr, mbox_end_addr; logic mbox_read_to_end; logic mbox_read_en; logic mbox_read_done; @@ -206,7 +206,7 @@ always_comb core_digest_valid_q = core_digest_valid & ~(init_reg | next_reg); always_comb mbox_read_en = mailbox_mode & ~mbox_read_done & !sha_sram_hold & ~(mbox_mode_last_dword_wr | block_full); always_comb sha_sram_req_dv = mbox_read_en; - always_comb sha_sram_req_addr = mbox_rdptr[MBOX_ADDR_W-1:0]; + always_comb sha_sram_req_addr = mbox_rdptr[CPTRA_MBOX_ADDR_W-1:0]; //stall the write if we are trying to stream datain and it's the end of a block but the core isn't ready always_comb stall_write = datain_write & block_full; @@ -304,19 +304,19 @@ always_comb core_digest_valid_q = core_digest_valid & ~(init_reg | next_reg); end //byte address aligning to mailbox read pointer - always_comb mbox_start_addr = hwif_out.START_ADDRESS.ADDR.value[MBOX_ADDR_W+1:2]; + always_comb mbox_start_addr = hwif_out.START_ADDRESS.ADDR.value[CPTRA_MBOX_ADDR_W+1:2]; //Convert DLEN to an end address. DLEN is in bytes, address is in dwords //detect overflow of end address to indicate we want to read to the end of the mailbox always_comb {mbox_read_to_end, mbox_end_addr} = mbox_start_addr + - hwif_out.DLEN.LENGTH.value[MBOX_ADDR_W+2:2] + + hwif_out.DLEN.LENGTH.value[CPTRA_MBOX_ADDR_W+2:2] + (hwif_out.DLEN.LENGTH.value[1] | hwif_out.DLEN.LENGTH.value[0]); always_comb mbox_read_done = (sha_fsm_ps == SHA_IDLE) | ~mailbox_mode | //If the DLEN overflowed our end address, just read to the end of the mailbox and stop //Otherwise read until read pointer == end address - (~mbox_read_to_end & mbox_rdptr[MBOX_ADDR_W-1:0] == mbox_end_addr) | - (mbox_read_to_end & mbox_rdptr[MBOX_ADDR_W]); + (~mbox_read_to_end & mbox_rdptr[CPTRA_MBOX_ADDR_W-1:0] == mbox_end_addr) | + (mbox_read_to_end & mbox_rdptr[CPTRA_MBOX_ADDR_W]); //HW API State Machine //whenever lock is cleared, go back to idle diff --git a/src/soc_ifc/rtl/soc_ifc_pkg.sv b/src/soc_ifc/rtl/soc_ifc_pkg.sv index 2676e3560..0f9c25e77 100644 --- a/src/soc_ifc/rtl/soc_ifc_pkg.sv +++ b/src/soc_ifc/rtl/soc_ifc_pkg.sv @@ -49,6 +49,17 @@ package soc_ifc_pkg; parameter MBOX_DIR_END_ADDR = `CLP_MBOX_SRAM_END_ADDR - SOC_IFC_REG_OFFSET; parameter MBOX_DIR_MEM_SIZE = MBOX_DIR_END_ADDR - MBOX_DIR_START_ADDR; + //Mailbox size configuration + parameter CPTRA_MBOX_SIZE_KB = 256; + parameter CPTRA_MBOX_DATA_W = 32; + parameter CPTRA_MBOX_ECC_DATA_W = 7; + parameter CPTRA_MBOX_SIZE_BYTES = CPTRA_MBOX_SIZE_KB * 1024; + parameter CPTRA_MBOX_SIZE_DWORDS = CPTRA_MBOX_SIZE_BYTES/4; + parameter CPTRA_MBOX_DATA_AND_ECC_W = CPTRA_MBOX_DATA_W + CPTRA_MBOX_ECC_DATA_W; + parameter CPTRA_MBOX_DEPTH = (CPTRA_MBOX_SIZE_KB * 1024 * 8) / CPTRA_MBOX_DATA_W; + parameter CPTRA_MBOX_ADDR_W = $clog2(CPTRA_MBOX_DEPTH); + parameter CPTRA_MBOX_DEPTH_LOG2 = $clog2(CPTRA_MBOX_DEPTH); + //Valid AXI_USER //Lock the AXI_USER values from integration time parameter [4:0] CPTRA_SET_MBOX_AXI_USER_INTEG = { 1'b0, 1'b0, 1'b0, 1'b0, 1'b0}; @@ -112,8 +123,6 @@ package soc_ifc_pkg; BOOT_DONE = 3'b100 } boot_fsm_state_e; - - //SHA FSM typedef enum logic [2:0] { SHA_IDLE = 3'b000, @@ -153,6 +162,26 @@ package soc_ifc_pkg; device_lifecycle_e device_lifecycle; } security_state_t; + //Caliptra Mailbox + // ECC protected data + typedef struct packed { + logic [CPTRA_MBOX_ECC_DATA_W-1:0] ecc; + logic [CPTRA_MBOX_DATA_W-1:0] data; + } cptra_mbox_sram_data_t; + + //Request to mbox sram + typedef struct packed { + logic cs; + logic we; + logic [CPTRA_MBOX_ADDR_W-1:0] addr; + cptra_mbox_sram_data_t wdata; + } cptra_mbox_sram_req_t; + + //Response from mbox sram + typedef struct packed { + cptra_mbox_sram_data_t rdata; + } cptra_mbox_sram_resp_t; + endpackage `endif diff --git a/src/soc_ifc/rtl/soc_ifc_top.sv b/src/soc_ifc/rtl/soc_ifc_top.sv index 26b010e89..06d7c8f86 100644 --- a/src/soc_ifc/rtl/soc_ifc_top.sv +++ b/src/soc_ifc/rtl/soc_ifc_top.sv @@ -94,8 +94,8 @@ module soc_ifc_top output wire timer_intr, //SRAM interface - output mbox_sram_req_t mbox_sram_req, - input mbox_sram_resp_t mbox_sram_resp, + output cptra_mbox_sram_req_t mbox_sram_req, + input cptra_mbox_sram_resp_t mbox_sram_resp, // RV ECC Status Interface input rv_ecc_sts_t rv_ecc_sts, @@ -201,8 +201,8 @@ logic soc_ifc_reg_error, soc_ifc_reg_read_error, soc_ifc_reg_write_error; logic soc_ifc_reg_rdata_mask; logic sha_sram_req_dv; -logic [MBOX_ADDR_W-1:0] sha_sram_req_addr; -mbox_sram_resp_t sha_sram_resp; +logic [CPTRA_MBOX_ADDR_W-1:0] sha_sram_req_addr; +cptra_mbox_sram_resp_t sha_sram_resp; logic sha_sram_hold; //DMA SRAM direct inf @@ -1061,13 +1061,18 @@ i_sha512_acc_top ( .notif_intr(sha_notif_intr) ); - //Mailbox //This module contains the Caliptra Mailbox and associated control logic //The SoC and uC can read and write to the mailbox by following the Caliptra Mailbox Protocol mbox #( - .DMI_REG_MBOX_DLEN_ADDR(soc_ifc_pkg::DMI_REG_MBOX_DLEN) + .DMI_REG_MBOX_DLEN_ADDR(soc_ifc_pkg::DMI_REG_MBOX_DLEN), + .MBOX_SIZE_KB(CPTRA_MBOX_SIZE_KB), + .MBOX_DATA_W(CPTRA_MBOX_DATA_W), + .MBOX_ECC_DATA_W(CPTRA_MBOX_ECC_DATA_W), + .MBOX_IFC_DATA_W(SOC_IFC_DATA_W), + .MBOX_IFC_USER_W(SOC_IFC_USER_W), + .MBOX_IFC_ADDR_W(SOC_IFC_ADDR_W) ) i_mbox ( .clk(soc_ifc_clk_cg), @@ -1075,21 +1080,33 @@ i_mbox ( .req_dv(mbox_req_dv), .req_hold(mbox_req_hold), .dir_req_dv(mbox_dir_req_dv), - .req_data(mbox_req_data), + .req_data_addr(mbox_req_data.addr), + .req_data_wdata(mbox_req_data.wdata), + .req_data_user(mbox_req_data.user), + .req_data_write(mbox_req_data.write), + .req_data_soc_req(mbox_req_data.soc_req), .mbox_error(mbox_error), .rdata(mbox_rdata), .dir_rdata(mbox_dir_rdata), .sha_sram_req_dv(sha_sram_req_dv), .sha_sram_req_addr(sha_sram_req_addr), - .sha_sram_resp(sha_sram_resp), + .sha_sram_resp_ecc(sha_sram_resp.rdata.ecc), + .sha_sram_resp_data(sha_sram_resp.rdata.data), .sha_sram_hold(sha_sram_hold), .dma_sram_req_dv (dma_sram_req_dv ), - .dma_sram_req_data(dma_sram_req_data), + .dma_sram_req_write(dma_sram_req_data.write), + .dma_sram_req_addr(dma_sram_req_data.addr), + .dma_sram_req_wdata(dma_sram_req_data.wdata), .dma_sram_rdata (dma_sram_rdata ), .dma_sram_hold (dma_sram_req_hold), .dma_sram_error (dma_sram_error ), - .mbox_sram_req(mbox_sram_req), - .mbox_sram_resp(mbox_sram_resp), + .mbox_sram_req_cs(mbox_sram_req.cs), + .mbox_sram_req_we(mbox_sram_req.we), + .mbox_sram_req_addr(mbox_sram_req.addr), + .mbox_sram_req_ecc(mbox_sram_req.wdata.ecc), + .mbox_sram_req_wdata(mbox_sram_req.wdata.data), + .mbox_sram_resp_ecc(mbox_sram_resp.rdata.ecc), + .mbox_sram_resp_data(mbox_sram_resp.rdata.data), .sram_single_ecc_error(sram_single_ecc_error), .sram_double_ecc_error(sram_double_ecc_error), .uc_mbox_lock(uc_mbox_lock),