From 6947fc91286a5f4b88d2072d80859fba13fd47ed Mon Sep 17 00:00:00 2001 From: htfab Date: Tue, 24 Sep 2024 22:37:05 +0200 Subject: [PATCH] feat: add experimental IHP support --- .github/workflows/docs.yaml | 2 +- .github/workflows/gds.yaml | 40 ++++++++++----------- src/config.json | 70 ++++--------------------------------- 3 files changed, 26 insertions(+), 86 deletions(-) diff --git a/.github/workflows/docs.yaml b/.github/workflows/docs.yaml index 8aae962..383350d 100644 --- a/.github/workflows/docs.yaml +++ b/.github/workflows/docs.yaml @@ -14,4 +14,4 @@ jobs: submodules: recursive - name: Build docs - uses: TinyTapeout/tt-gds-action/docs@tt09 + uses: htfab/tt-gds-action/docs@tt09 diff --git a/.github/workflows/gds.yaml b/.github/workflows/gds.yaml index cb22e45..e1d1950 100644 --- a/.github/workflows/gds.yaml +++ b/.github/workflows/gds.yaml @@ -14,28 +14,26 @@ jobs: submodules: recursive - name: Build GDS - uses: TinyTapeout/tt-gds-action@tt09 - with: - flow: openlane2 - - precheck: - needs: gds - runs-on: ubuntu-latest - steps: - - name: Run Tiny Tapeout Precheck - uses: TinyTapeout/tt-gds-action/precheck@tt09 + uses: htfab/tt-gds-action/orfs@tt09 - gl_test: - needs: gds - runs-on: ubuntu-latest - steps: - - name: checkout repo - uses: actions/checkout@v4 - with: - submodules: recursive + #precheck: + # needs: gds + # runs-on: ubuntu-latest + # steps: + # - name: Run Tiny Tapeout Precheck + # uses: TinyTapeout/tt-gds-action/precheck@tt09 - - name: GL test - uses: TinyTapeout/tt-gds-action/gl_test@tt09 + #gl_test: + # needs: gds + # runs-on: ubuntu-latest + # steps: + # - name: checkout repo + # uses: actions/checkout@v4 + # with: + # submodules: recursive + # + # - name: GL test + # uses: TinyTapeout/tt-gds-action/gl_test@tt09 viewer: needs: gds @@ -44,4 +42,4 @@ jobs: pages: write # to deploy to Pages id-token: write # to verify the deployment originates from an appropriate source steps: - - uses: TinyTapeout/tt-gds-action/viewer@tt09 + - uses: htfab/tt-gds-action/viewer@tt09 diff --git a/src/config.json b/src/config.json index 3fc2ed6..e30c9fe 100644 --- a/src/config.json +++ b/src/config.json @@ -11,74 +11,16 @@ "//": "Here are some of the variables you may want to change:", - "//": "PL_TARGET_DENSITY_PCT - You can increase this if Global Placement fails with error GPL-0302.", - "//": "Users have reported that values up to 80 worked well for them.", - "PL_TARGET_DENSITY_PCT": 60, + "PLACE_DENSITY": 0.6, + "TNS_END_PERCENT": 100, - "//": "CLOCK_PERIOD - Increase this in case you are getting setup time violations.", - "//": "The value is in nanoseconds, so 20ns == 50MHz.", - "CLOCK_PERIOD": 20, - - "//": "Hold slack margin - Increase them in case you are getting hold violations.", - "PL_RESIZER_HOLD_SLACK_MARGIN": 0.1, - "GRT_RESIZER_HOLD_SLACK_MARGIN": 0.05, - - "//": "RUN_LINTER, LINTER_INCLUDE_PDK_MODELS - Disabling the linter is not recommended!", - "RUN_LINTER": 1, - "LINTER_INCLUDE_PDK_MODELS": 1, - - "//": "If you need a custom clock configuration, read the following documentation first:", - "//": "https://tinytapeout.com/faq/#how-can-i-map-an-additional-external-clock-to-one-of-the-gpios", - "CLOCK_PORT": "clk", - - "//": "Configuration docs: https://openlane.readthedocs.io/en/latest/reference/configuration.html", + "//": "Configuration docs: https://openroad-flow-scripts.readthedocs.io/en/latest/user/FlowVariables.html", "//": "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!", "//": "!!! DO NOT CHANGE ANYTHING BELOW THIS POINT !!!", "//": "!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!", - "//": "Save some time", - "RUN_KLAYOUT_XOR": 0, - "RUN_KLAYOUT_DRC": 0, - - "//": "Don't put clock buffers on the outputs", - "DESIGN_REPAIR_BUFFER_OUTPUT_PORTS": 0, - - "//": "Reduce wasted space", - "TOP_MARGIN_MULT": 1, - "BOTTOM_MARGIN_MULT": 1, - "LEFT_MARGIN_MULT": 6, - "RIGHT_MARGIN_MULT": 6, - - "//": "Absolute die size", - "FP_SIZING": "absolute", - - "GRT_ALLOW_CONGESTION": 1, - - "FP_IO_HLENGTH": 2, - "FP_IO_VLENGTH": 2, - - "FP_PDN_VPITCH": 38.87, - - "//": "Use alternative efabless decap cells to solve LI density issue", - "DECAP_CELL": [ - "sky130_fd_sc_hd__decap_3", - "sky130_fd_sc_hd__decap_4", - "sky130_fd_sc_hd__decap_6", - "sky130_fd_sc_hd__decap_8", - "sky130_ef_sc_hd__decap_12" - ], - - "//": "Clock", - "RUN_CTS": 1, - - "//": "Don't use power rings or met5 layer", - "FP_PDN_MULTILAYER": 0, - "RT_MAX_LAYER": "met4", - - "//": "MAGIC_DEF_LABELS may cause issues with LVS", - "MAGIC_DEF_LABELS": 0, - - "//": "Only export pin area in LEF (without any connected nets)", - "MAGIC_WRITE_LEF_PINONLY": 1 + "//": "Power nets for IR drop analysis", + "PWR_NETS_VOLTAGES": "{VPWR 1.2}", + "GND_NETS_VOLTAGES": "{VGND 0.0}" }