diff --git a/dev/.buildinfo b/dev/.buildinfo index 4ee886aae85..a6c6e357263 100644 --- a/dev/.buildinfo +++ b/dev/.buildinfo @@ -1,4 +1,4 @@ # Sphinx build info version 1 # This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. -config: 0cf8cb5c61ad5c5d1188db09f8dfd048 +config: 953e6cf912b024f573f9fcd0f9b2d4ae tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/dev/.doctrees/SpinalHDL/About SpinalHDL/faq.doctree b/dev/.doctrees/SpinalHDL/About SpinalHDL/faq.doctree index 714dbbc8fcd..b216253af64 100644 Binary files a/dev/.doctrees/SpinalHDL/About SpinalHDL/faq.doctree and b/dev/.doctrees/SpinalHDL/About SpinalHDL/faq.doctree differ diff --git a/dev/.doctrees/SpinalHDL/About SpinalHDL/index.doctree b/dev/.doctrees/SpinalHDL/About SpinalHDL/index.doctree index 47a3292dca9..90c60e4c5da 100644 Binary files a/dev/.doctrees/SpinalHDL/About SpinalHDL/index.doctree and b/dev/.doctrees/SpinalHDL/About SpinalHDL/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/About SpinalHDL/support.doctree b/dev/.doctrees/SpinalHDL/About SpinalHDL/support.doctree index 460ac746417..a6b821c3f30 100644 Binary files a/dev/.doctrees/SpinalHDL/About SpinalHDL/support.doctree and b/dev/.doctrees/SpinalHDL/About SpinalHDL/support.doctree differ diff --git a/dev/.doctrees/SpinalHDL/About SpinalHDL/users.doctree b/dev/.doctrees/SpinalHDL/About SpinalHDL/users.doctree index c9c4090ca9f..81992a31189 100644 Binary files a/dev/.doctrees/SpinalHDL/About SpinalHDL/users.doctree and b/dev/.doctrees/SpinalHDL/About SpinalHDL/users.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/AFix.doctree b/dev/.doctrees/SpinalHDL/Data types/AFix.doctree index f8055ed1759..addb8e4420f 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/AFix.doctree and b/dev/.doctrees/SpinalHDL/Data types/AFix.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/Fix.doctree b/dev/.doctrees/SpinalHDL/Data types/Fix.doctree index 2cf7a0fe115..2613a9a7f94 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/Fix.doctree and b/dev/.doctrees/SpinalHDL/Data types/Fix.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/Floating.doctree b/dev/.doctrees/SpinalHDL/Data types/Floating.doctree index ceac4e09a6a..70af26994e5 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/Floating.doctree and b/dev/.doctrees/SpinalHDL/Data types/Floating.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/Int.doctree b/dev/.doctrees/SpinalHDL/Data types/Int.doctree index 3b42ed0040d..ccb78f952d7 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/Int.doctree and b/dev/.doctrees/SpinalHDL/Data types/Int.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/Vec.doctree b/dev/.doctrees/SpinalHDL/Data types/Vec.doctree index 8e992005e6b..435ae296dc9 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/Vec.doctree and b/dev/.doctrees/SpinalHDL/Data types/Vec.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/bits.doctree b/dev/.doctrees/SpinalHDL/Data types/bits.doctree index b9d8a8bc12f..da2253c549d 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/bits.doctree and b/dev/.doctrees/SpinalHDL/Data types/bits.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/bool.doctree b/dev/.doctrees/SpinalHDL/Data types/bool.doctree index 53510532ab4..77345add0d8 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/bool.doctree and b/dev/.doctrees/SpinalHDL/Data types/bool.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/bundle.doctree b/dev/.doctrees/SpinalHDL/Data types/bundle.doctree index ae7f5663b02..e302d7fe646 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/bundle.doctree and b/dev/.doctrees/SpinalHDL/Data types/bundle.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/enum.doctree b/dev/.doctrees/SpinalHDL/Data types/enum.doctree index 506a080daf7..8f93c406adb 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/enum.doctree and b/dev/.doctrees/SpinalHDL/Data types/enum.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Data types/index.doctree b/dev/.doctrees/SpinalHDL/Data types/index.doctree index 97fad5ce263..5a13f950335 100644 Binary files a/dev/.doctrees/SpinalHDL/Data types/index.doctree and b/dev/.doctrees/SpinalHDL/Data types/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree b/dev/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree index b6b31b62753..07a236588f4 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree and b/dev/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree b/dev/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree index 10a3f780696..99767139364 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree and b/dev/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree b/dev/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree index 0502f160528..fa5da488ba7 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree and b/dev/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree b/dev/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree index c470a246276..715c2256a2b 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree and b/dev/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/index.doctree b/dev/.doctrees/SpinalHDL/Design errors/index.doctree index 9bd3aaf4d61..60b9031ca3e 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/index.doctree and b/dev/.doctrees/SpinalHDL/Design errors/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/iobundle.doctree b/dev/.doctrees/SpinalHDL/Design errors/iobundle.doctree index 11e8a665d90..854749601ce 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/iobundle.doctree and b/dev/.doctrees/SpinalHDL/Design errors/iobundle.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/latch_detected.doctree b/dev/.doctrees/SpinalHDL/Design errors/latch_detected.doctree index a9875255d9a..505f1661700 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/latch_detected.doctree and b/dev/.doctrees/SpinalHDL/Design errors/latch_detected.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree b/dev/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree index 96a8d68d235..e3ac221dddd 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree and b/dev/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree b/dev/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree index 0cd7897e56c..bbdf7ed5277 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree and b/dev/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree b/dev/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree index c86a4c43cef..244b6c4795c 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree and b/dev/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/scope_violation.doctree b/dev/.doctrees/SpinalHDL/Design errors/scope_violation.doctree index 608c2693bbc..791d5cd41ce 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/scope_violation.doctree and b/dev/.doctrees/SpinalHDL/Design errors/scope_violation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree b/dev/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree index 7aeb1954868..7defb655b6f 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree and b/dev/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree b/dev/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree index 878dc6ddb83..8f2029fc9f9 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree and b/dev/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree b/dev/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree index 2d5b28cbef7..62335b4c094 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree and b/dev/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree b/dev/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree index eb340bc2e63..c3b2b8550b7 100644 Binary files a/dev/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree and b/dev/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree b/dev/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree index 3b539c5587f..6ae125bdc3d 100644 Binary files a/dev/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree and b/dev/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Developers area/howtodocument.doctree b/dev/.doctrees/SpinalHDL/Developers area/howtodocument.doctree index c1bf3740843..e1954c8a676 100644 Binary files a/dev/.doctrees/SpinalHDL/Developers area/howtodocument.doctree and b/dev/.doctrees/SpinalHDL/Developers area/howtodocument.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Developers area/index.doctree b/dev/.doctrees/SpinalHDL/Developers area/index.doctree index 4fa18650bb7..95418732c7f 100644 Binary files a/dev/.doctrees/SpinalHDL/Developers area/index.doctree and b/dev/.doctrees/SpinalHDL/Developers area/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree b/dev/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree index 0693c676e91..2b7764d2954 100644 Binary files a/dev/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree and b/dev/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Developers area/types.doctree b/dev/.doctrees/SpinalHDL/Developers area/types.doctree index 4065edc5e0e..95cdc753cdf 100644 Binary files a/dev/.doctrees/SpinalHDL/Developers area/types.doctree and b/dev/.doctrees/SpinalHDL/Developers area/types.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree index a28a2731d03..032e36a95cb 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree and b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree index 9fd2a51ea44..3e0a6468bbf 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree and b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree index 27b58b2bb2a..a8bd348710c 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree and b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree index 3498d52f686..f6eb00fab79 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree and b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree index e33fc3bc147..137202f6c0c 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree and b/dev/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree index bf0e530f74a..1815c62d508 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree and b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree index 4528c57561a..4e0bcc10c0a 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree and b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree index 4e48ec1acbb..4ed874fca01 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree and b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree index b69d2b5cc80..f5080392ce3 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree and b/dev/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree index 3ba35127a21..5fbb6a59af4 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree index 2470b0db04d..52344e7ea27 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree index f0260ff3988..3586d69049f 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree index e1a29d52fa8..dd38f38f5a0 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree index 8571c89641c..e9edeadd775 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree index 3f679a2a458..d461e63f0c5 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree index d2801928d89..cc51d8933cb 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree b/dev/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree index cfdb9b67989..69c7d23b7ea 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree and b/dev/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Examples/index.doctree b/dev/.doctrees/SpinalHDL/Examples/index.doctree index c3cd2b930f4..62a757af229 100644 Binary files a/dev/.doctrees/SpinalHDL/Examples/index.doctree and b/dev/.doctrees/SpinalHDL/Examples/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Formal verification/index.doctree b/dev/.doctrees/SpinalHDL/Formal verification/index.doctree index c334659f24c..e89b1dec98b 100644 Binary files a/dev/.doctrees/SpinalHDL/Formal verification/index.doctree and b/dev/.doctrees/SpinalHDL/Formal verification/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree index 980717084f9..5dca5d741de 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree index 32be5f5becc..bd29ddb1927 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree index 0145c236941..a4fe347af32 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree index 6ce5bae03b6..fad7e4d2926 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree index f6908ea8858..6571c09131e 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree index bfa5061e058..7aabaa0a681 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree index 655053f252b..0a5cc5e7598 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree index 0d0c0c305c1..efd1f64e107 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree index 0a7090e95c4..748ba4d2a06 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree index 4d9e6c3f837..afd1b20719d 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree index da8cdfefcd5..7fd7c0511b8 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/getting_started.doctree b/dev/.doctrees/SpinalHDL/Getting Started/getting_started.doctree index dc78e841983..2746109ef33 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/getting_started.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/getting_started.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/index.doctree b/dev/.doctrees/SpinalHDL/Getting Started/index.doctree index c19684faba0..52fa846f295 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/index.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/motivation.doctree b/dev/.doctrees/SpinalHDL/Getting Started/motivation.doctree index 55120a67f2d..32cc365dbca 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/motivation.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/motivation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Getting Started/presentation.doctree b/dev/.doctrees/SpinalHDL/Getting Started/presentation.doctree index b34bce7be11..a5b2d6e4614 100644 Binary files a/dev/.doctrees/SpinalHDL/Getting Started/presentation.doctree and b/dev/.doctrees/SpinalHDL/Getting Started/presentation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/index.doctree b/dev/.doctrees/SpinalHDL/Legacy/index.doctree index ffc7cc3a980..f97b8de6274 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/index.doctree and b/dev/.doctrees/SpinalHDL/Legacy/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree b/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree index d3ecc635703..072190574a8 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree and b/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree b/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree index 8c9eeec2254..ba9ffc29925 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree and b/dev/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree b/dev/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree index d31f3caee2e..9d2b2bb8d26 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree and b/dev/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree b/dev/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree index ea70cf2b0c8..909ec900675 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree and b/dev/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree b/dev/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree index 7c508c39bda..43cd6af3168 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree and b/dev/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Legacy/riscv.doctree b/dev/.doctrees/SpinalHDL/Legacy/riscv.doctree index 030664dc8e3..06fa78ec002 100644 Binary files a/dev/.doctrees/SpinalHDL/Legacy/riscv.doctree and b/dev/.doctrees/SpinalHDL/Legacy/riscv.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree index bc54d3f197c..f14a98a017e 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree index 49815698dfd..1a95b76e59f 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree index 84a89208293..098243a8f70 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree b/dev/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree index d68741a291b..fd05044827b 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Bus/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/Bus/index.doctree index 616ba69ee9d..b2fc5bc0ebf 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Bus/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Bus/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Com/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/Com/index.doctree index 95c97ae151e..6f3c30cd129 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Com/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Com/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Com/uart.doctree b/dev/.doctrees/SpinalHDL/Libraries/Com/uart.doctree index 5a2d8ef9ea9..39c0cf173ba 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Com/uart.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Com/uart.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree b/dev/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree index 88358d59db9..61e4f0e2317 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree b/dev/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree index ff6ac1cf958..c933bc17383 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree b/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree index 97322e7cb4d..e7dd3f74e69 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree and b/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree b/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree index ad03b65de0d..ca8ec997dad 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree and b/dev/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/EDA/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/EDA/index.doctree index 35b0f34976e..fcb33cc7696 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/EDA/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/EDA/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree b/dev/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree index 7ed8929a9b0..04aa2314f5e 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree index f9459dd364f..ccccf45b245 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree b/dev/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree index 6fa2d8eaade..2ae2ae12608 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/IO/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/IO/index.doctree index 2900b3e7e2a..8bc3c32b1d5 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/IO/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/IO/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree b/dev/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree index 0806dc2ec6c..88760d4d93a 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree and b/dev/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree b/dev/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree index 5a9931ca758..89a5b49baea 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree and b/dev/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree b/dev/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree index 479ae5131d1..8e3227bdc24 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/Misc/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/Misc/index.doctree index 0605990d8bf..c5da75ad377 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/Misc/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/Misc/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/binarySystem.doctree b/dev/.doctrees/SpinalHDL/Libraries/binarySystem.doctree index 83614989f72..ee650c74b4a 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/binarySystem.doctree and b/dev/.doctrees/SpinalHDL/Libraries/binarySystem.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree b/dev/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree index 7c901a3afde..07e7a932301 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree and b/dev/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/fiber.doctree b/dev/.doctrees/SpinalHDL/Libraries/fiber.doctree index 4534d2d287d..1f43847f423 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/fiber.doctree and b/dev/.doctrees/SpinalHDL/Libraries/fiber.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/flow.doctree b/dev/.doctrees/SpinalHDL/Libraries/flow.doctree index b82a61f4bcf..0457fa2db49 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/flow.doctree and b/dev/.doctrees/SpinalHDL/Libraries/flow.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/fragment.doctree b/dev/.doctrees/SpinalHDL/Libraries/fragment.doctree index f6f01dbe038..4b445e3100f 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/fragment.doctree and b/dev/.doctrees/SpinalHDL/Libraries/fragment.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/fsm.doctree b/dev/.doctrees/SpinalHDL/Libraries/fsm.doctree index 51cd7ecc14a..7443f4a28c0 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/fsm.doctree and b/dev/.doctrees/SpinalHDL/Libraries/fsm.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/index.doctree b/dev/.doctrees/SpinalHDL/Libraries/index.doctree index cec2b24b922..a4c5be9c85d 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/index.doctree and b/dev/.doctrees/SpinalHDL/Libraries/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/regIf.doctree b/dev/.doctrees/SpinalHDL/Libraries/regIf.doctree index 60cec7387e3..cc48e62926d 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/regIf.doctree and b/dev/.doctrees/SpinalHDL/Libraries/regIf.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/stream.doctree b/dev/.doctrees/SpinalHDL/Libraries/stream.doctree index 9141e966dfc..5e143e19a0e 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/stream.doctree and b/dev/.doctrees/SpinalHDL/Libraries/stream.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/utils.doctree b/dev/.doctrees/SpinalHDL/Libraries/utils.doctree index 3259e9e4ca5..188a58896c7 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/utils.doctree and b/dev/.doctrees/SpinalHDL/Libraries/utils.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Libraries/vexriscv.doctree b/dev/.doctrees/SpinalHDL/Libraries/vexriscv.doctree index 8e4bb177e33..758985a6861 100644 Binary files a/dev/.doctrees/SpinalHDL/Libraries/vexriscv.doctree and b/dev/.doctrees/SpinalHDL/Libraries/vexriscv.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/analog_inout.doctree b/dev/.doctrees/SpinalHDL/Other language features/analog_inout.doctree index d63e52e3833..bd5afdd2c97 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/analog_inout.doctree and b/dev/.doctrees/SpinalHDL/Other language features/analog_inout.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/assertion.doctree b/dev/.doctrees/SpinalHDL/Other language features/assertion.doctree index 65c28fbd2d1..051954a8447 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/assertion.doctree and b/dev/.doctrees/SpinalHDL/Other language features/assertion.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/index.doctree b/dev/.doctrees/SpinalHDL/Other language features/index.doctree index 03147dbdb64..74fd16700b1 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/index.doctree and b/dev/.doctrees/SpinalHDL/Other language features/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/report.doctree b/dev/.doctrees/SpinalHDL/Other language features/report.doctree index 56dfcbd2c48..a90ded59dd4 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/report.doctree and b/dev/.doctrees/SpinalHDL/Other language features/report.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/scope_property.doctree b/dev/.doctrees/SpinalHDL/Other language features/scope_property.doctree index e0e16aeb799..9d513fe9ed8 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/scope_property.doctree and b/dev/.doctrees/SpinalHDL/Other language features/scope_property.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/stub.doctree b/dev/.doctrees/SpinalHDL/Other language features/stub.doctree index b5ad9210bb4..9ada336e1f7 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/stub.doctree and b/dev/.doctrees/SpinalHDL/Other language features/stub.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/utils.doctree b/dev/.doctrees/SpinalHDL/Other language features/utils.doctree index 2be083bd3ad..156bda395f8 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/utils.doctree and b/dev/.doctrees/SpinalHDL/Other language features/utils.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree b/dev/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree index c30aa0ea484..82b1e710da7 100644 Binary files a/dev/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree and b/dev/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Semantic/assignments.doctree b/dev/.doctrees/SpinalHDL/Semantic/assignments.doctree index e1eaa78ee56..57349a6ebdf 100644 Binary files a/dev/.doctrees/SpinalHDL/Semantic/assignments.doctree and b/dev/.doctrees/SpinalHDL/Semantic/assignments.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Semantic/index.doctree b/dev/.doctrees/SpinalHDL/Semantic/index.doctree index b2897000516..e800919c115 100644 Binary files a/dev/.doctrees/SpinalHDL/Semantic/index.doctree and b/dev/.doctrees/SpinalHDL/Semantic/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Semantic/rules.doctree b/dev/.doctrees/SpinalHDL/Semantic/rules.doctree index 3a2038357ec..9812051b73e 100644 Binary files a/dev/.doctrees/SpinalHDL/Semantic/rules.doctree and b/dev/.doctrees/SpinalHDL/Semantic/rules.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Semantic/when_switch.doctree b/dev/.doctrees/SpinalHDL/Semantic/when_switch.doctree index 51723f60ccf..a802418f71e 100644 Binary files a/dev/.doctrees/SpinalHDL/Semantic/when_switch.doctree and b/dev/.doctrees/SpinalHDL/Semantic/when_switch.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Sequential logic/index.doctree b/dev/.doctrees/SpinalHDL/Sequential logic/index.doctree index 351d33daea0..1ff3b8446e4 100644 Binary files a/dev/.doctrees/SpinalHDL/Sequential logic/index.doctree and b/dev/.doctrees/SpinalHDL/Sequential logic/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Sequential logic/memory.doctree b/dev/.doctrees/SpinalHDL/Sequential logic/memory.doctree index a13aa5e9fed..259e225b69c 100644 Binary files a/dev/.doctrees/SpinalHDL/Sequential logic/memory.doctree and b/dev/.doctrees/SpinalHDL/Sequential logic/memory.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Sequential logic/registers.doctree b/dev/.doctrees/SpinalHDL/Sequential logic/registers.doctree index a4dc35a094e..e0feacc8554 100644 Binary files a/dev/.doctrees/SpinalHDL/Sequential logic/registers.doctree and b/dev/.doctrees/SpinalHDL/Sequential logic/registers.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/bootstraps.doctree b/dev/.doctrees/SpinalHDL/Simulation/bootstraps.doctree index 4b8a69be252..92a28cee4fd 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/bootstraps.doctree and b/dev/.doctrees/SpinalHDL/Simulation/bootstraps.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/clock.doctree b/dev/.doctrees/SpinalHDL/Simulation/clock.doctree index 27078221a7a..2330f9f8908 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/clock.doctree and b/dev/.doctrees/SpinalHDL/Simulation/clock.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/engine.doctree b/dev/.doctrees/SpinalHDL/Simulation/engine.doctree index d4f117b55b2..956ee3a61d8 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/engine.doctree and b/dev/.doctrees/SpinalHDL/Simulation/engine.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree index c0a7590c8e8..8bb5273ae5e 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree index 3bfef5938e6..2867e36687c 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/index.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/index.doctree index bcc06f2939c..e5969f1d194 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/index.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree index ea325441b3f..e05a4f1f433 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree index a2c2534242b..c7b60753384 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree index 50d3ef76d1a..9ee453c714b 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree b/dev/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree index ed3af20201e..d3e32c2ce45 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree and b/dev/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/index.doctree b/dev/.doctrees/SpinalHDL/Simulation/index.doctree index 68c99d13695..92e7fe2848f 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/index.doctree and b/dev/.doctrees/SpinalHDL/Simulation/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree b/dev/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree index 4f54ab1efab..c38fdae63d6 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree and b/dev/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree b/dev/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree index c4caa62b31a..66dd1582090 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree and b/dev/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/install/VCS.doctree b/dev/.doctrees/SpinalHDL/Simulation/install/VCS.doctree index 46f293a2bcc..8d3546c8212 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/install/VCS.doctree and b/dev/.doctrees/SpinalHDL/Simulation/install/VCS.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree b/dev/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree index 94efe1c7d86..c8c4b72a622 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree and b/dev/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/install/index.doctree b/dev/.doctrees/SpinalHDL/Simulation/install/index.doctree index 47ce7164167..b1271f9384f 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/install/index.doctree and b/dev/.doctrees/SpinalHDL/Simulation/install/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/sensitive.doctree b/dev/.doctrees/SpinalHDL/Simulation/sensitive.doctree index 831d3b41945..58454c17b7a 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/sensitive.doctree and b/dev/.doctrees/SpinalHDL/Simulation/sensitive.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/signal.doctree b/dev/.doctrees/SpinalHDL/Simulation/signal.doctree index 8b5d50db19a..e47a990bb2f 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/signal.doctree and b/dev/.doctrees/SpinalHDL/Simulation/signal.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/threadFull.doctree b/dev/.doctrees/SpinalHDL/Simulation/threadFull.doctree index 40d2c431092..7137346f77d 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/threadFull.doctree and b/dev/.doctrees/SpinalHDL/Simulation/threadFull.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Simulation/threadLess.doctree b/dev/.doctrees/SpinalHDL/Simulation/threadLess.doctree index 594f0c4dd7d..34f0d3dd0d4 100644 Binary files a/dev/.doctrees/SpinalHDL/Simulation/threadLess.doctree and b/dev/.doctrees/SpinalHDL/Simulation/threadLess.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/area.doctree b/dev/.doctrees/SpinalHDL/Structuring/area.doctree index a599b01b326..c501444507b 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/area.doctree and b/dev/.doctrees/SpinalHDL/Structuring/area.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/blackbox.doctree b/dev/.doctrees/SpinalHDL/Structuring/blackbox.doctree index 714274026d7..5a9a8217cbb 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/blackbox.doctree and b/dev/.doctrees/SpinalHDL/Structuring/blackbox.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/clock_domain.doctree b/dev/.doctrees/SpinalHDL/Structuring/clock_domain.doctree index 3c2ee1d9445..1e02bdb8e37 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/clock_domain.doctree and b/dev/.doctrees/SpinalHDL/Structuring/clock_domain.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree b/dev/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree index 79c2415e4d1..e486c6a9310 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree and b/dev/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/function.doctree b/dev/.doctrees/SpinalHDL/Structuring/function.doctree index 375368a67a7..8ad989a3db2 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/function.doctree and b/dev/.doctrees/SpinalHDL/Structuring/function.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/index.doctree b/dev/.doctrees/SpinalHDL/Structuring/index.doctree index 484ae5a96d6..25d44058137 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/index.doctree and b/dev/.doctrees/SpinalHDL/Structuring/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/naming.doctree b/dev/.doctrees/SpinalHDL/Structuring/naming.doctree index 56ab7936097..e675f5f1c3f 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/naming.doctree and b/dev/.doctrees/SpinalHDL/Structuring/naming.doctree differ diff --git a/dev/.doctrees/SpinalHDL/Structuring/parametrization.doctree b/dev/.doctrees/SpinalHDL/Structuring/parametrization.doctree index 12f9c4aea02..b4cd307139b 100644 Binary files a/dev/.doctrees/SpinalHDL/Structuring/parametrization.doctree and b/dev/.doctrees/SpinalHDL/Structuring/parametrization.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/chisel.doctree b/dev/.doctrees/SpinalHDL/miscelenea/chisel.doctree index 7cea5af167b..e517383b99a 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/chisel.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/chisel.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree b/dev/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree index 32b354f5c05..3a5c6df2ccb 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/core/elements.doctree b/dev/.doctrees/SpinalHDL/miscelenea/core/elements.doctree index 24e68ccb351..7b127d230aa 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/core/elements.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/core/elements.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree b/dev/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree index d52f90cfb5c..8979cc30563 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/index.doctree b/dev/.doctrees/SpinalHDL/miscelenea/index.doctree index 7f00abe3dca..ba11ab71fd5 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/index.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/index.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware.doctree b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware.doctree index e9272527a78..a24f3bbd4e0 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware_toplevel.doctree b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware_toplevel.doctree index 606478a02f2..741cc1c7555 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware_toplevel.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/hardware_toplevel.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/introduction.doctree b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/introduction.doctree index 5e2c6d78c17..8dbad7d3e5f 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/introduction.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/introduction.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/software.doctree b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/software.doctree index 86234baaf2b..113957fd6a6 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/software.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/lib/briey/software.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/regular_hdl.doctree b/dev/.doctrees/SpinalHDL/miscelenea/regular_hdl.doctree index 5440c4048ba..14fb9f26a8c 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/regular_hdl.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/regular_hdl.doctree differ diff --git a/dev/.doctrees/SpinalHDL/miscelenea/things_to_do.doctree b/dev/.doctrees/SpinalHDL/miscelenea/things_to_do.doctree index 726d3b8448b..4f5759b9059 100644 Binary files a/dev/.doctrees/SpinalHDL/miscelenea/things_to_do.doctree and b/dev/.doctrees/SpinalHDL/miscelenea/things_to_do.doctree differ diff --git a/dev/.doctrees/environment.pickle b/dev/.doctrees/environment.pickle index 45d8aa84b47..daa04adc402 100644 Binary files a/dev/.doctrees/environment.pickle and b/dev/.doctrees/environment.pickle differ diff --git a/dev/.doctrees/index.doctree b/dev/.doctrees/index.doctree index 2fcf3845426..309a37f4343 100644 Binary files a/dev/.doctrees/index.doctree and b/dev/.doctrees/index.doctree differ diff --git a/dev/artefacts/SpinalHDL_docs-dev-SingleHTML.zip b/dev/artefacts/SpinalHDL_docs-dev-SingleHTML.zip index 7b184d2245c..3c1fdd1f6e9 100644 Binary files a/dev/artefacts/SpinalHDL_docs-dev-SingleHTML.zip and b/dev/artefacts/SpinalHDL_docs-dev-SingleHTML.zip differ diff --git a/dev/artefacts/SpinalHDL_docs-dev.pdf b/dev/artefacts/SpinalHDL_docs-dev.pdf index 79ff1bb6300..b6cfd8eed3c 100644 Binary files a/dev/artefacts/SpinalHDL_docs-dev.pdf and b/dev/artefacts/SpinalHDL_docs-dev.pdf differ diff --git a/master/.buildinfo b/master/.buildinfo index 74b4df7b0b1..4a2219c0dde 100644 --- a/master/.buildinfo +++ b/master/.buildinfo @@ -1,4 +1,4 @@ # Sphinx build info version 1 # This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. -config: e7d8b659d71170f544243145d58c866a +config: b9b21888fce809965cdc95f84ca0f57d tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/master/.doctrees/SpinalHDL/Data types/AFix.doctree b/master/.doctrees/SpinalHDL/Data types/AFix.doctree index f13d50acf85..d6232b0dc55 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/AFix.doctree and b/master/.doctrees/SpinalHDL/Data types/AFix.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/Fix.doctree b/master/.doctrees/SpinalHDL/Data types/Fix.doctree index 4b5f2fb0ef5..4c5a5adb448 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/Fix.doctree and b/master/.doctrees/SpinalHDL/Data types/Fix.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/Floating.doctree b/master/.doctrees/SpinalHDL/Data types/Floating.doctree index 0e9b0ed34b4..ba71207ad2b 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/Floating.doctree and b/master/.doctrees/SpinalHDL/Data types/Floating.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/Int.doctree b/master/.doctrees/SpinalHDL/Data types/Int.doctree index 4eb8e3a372b..d66cb346cda 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/Int.doctree and b/master/.doctrees/SpinalHDL/Data types/Int.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/Vec.doctree b/master/.doctrees/SpinalHDL/Data types/Vec.doctree index 189ac9d9861..5338e990016 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/Vec.doctree and b/master/.doctrees/SpinalHDL/Data types/Vec.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/bits.doctree b/master/.doctrees/SpinalHDL/Data types/bits.doctree index f4c6cf2354d..f975e0f0923 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/bits.doctree and b/master/.doctrees/SpinalHDL/Data types/bits.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/bool.doctree b/master/.doctrees/SpinalHDL/Data types/bool.doctree index 8f164081689..8a7f85736cc 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/bool.doctree and b/master/.doctrees/SpinalHDL/Data types/bool.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/bundle.doctree b/master/.doctrees/SpinalHDL/Data types/bundle.doctree index bb6f1957db8..d9b2a1e5cb1 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/bundle.doctree and b/master/.doctrees/SpinalHDL/Data types/bundle.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/enum.doctree b/master/.doctrees/SpinalHDL/Data types/enum.doctree index 23d1c6f4d2e..d80314d45a0 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/enum.doctree and b/master/.doctrees/SpinalHDL/Data types/enum.doctree differ diff --git a/master/.doctrees/SpinalHDL/Data types/index.doctree b/master/.doctrees/SpinalHDL/Data types/index.doctree index 5abb5321a7a..47e7f75a043 100644 Binary files a/master/.doctrees/SpinalHDL/Data types/index.doctree and b/master/.doctrees/SpinalHDL/Data types/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree b/master/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree index 7e55fbc14fe..ec220af5745 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree and b/master/.doctrees/SpinalHDL/Design errors/assignment_overlap.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree b/master/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree index de0420741db..5c23c13f1f0 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree and b/master/.doctrees/SpinalHDL/Design errors/clock_crossing_violation.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree b/master/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree index b0fb8b49db6..88e726285d3 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree and b/master/.doctrees/SpinalHDL/Design errors/combinatorial_loop.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree b/master/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree index f0a0ff67c83..705e82462d8 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree and b/master/.doctrees/SpinalHDL/Design errors/hierarchy_violation.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/index.doctree b/master/.doctrees/SpinalHDL/Design errors/index.doctree index 71f72b737cf..ce984a3c5b9 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/index.doctree and b/master/.doctrees/SpinalHDL/Design errors/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/iobundle.doctree b/master/.doctrees/SpinalHDL/Design errors/iobundle.doctree index 73752b17b21..6c09feba987 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/iobundle.doctree and b/master/.doctrees/SpinalHDL/Design errors/iobundle.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/latch_detected.doctree b/master/.doctrees/SpinalHDL/Design errors/latch_detected.doctree index 1e764c261ae..eec8c07fbc0 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/latch_detected.doctree and b/master/.doctrees/SpinalHDL/Design errors/latch_detected.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree b/master/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree index f0f5535b89e..054cc957264 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree and b/master/.doctrees/SpinalHDL/Design errors/no_driver_on.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree b/master/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree index bec95577653..cddc1f36fb5 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree and b/master/.doctrees/SpinalHDL/Design errors/nullpointerexception.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/out_of_range_constant.doctree b/master/.doctrees/SpinalHDL/Design errors/out_of_range_constant.doctree index 5bd46ff6136..02df8eeaf26 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/out_of_range_constant.doctree and b/master/.doctrees/SpinalHDL/Design errors/out_of_range_constant.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree b/master/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree index c0e868f1953..924915765ea 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree and b/master/.doctrees/SpinalHDL/Design errors/register_defined_as_component_input.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/scope_violation.doctree b/master/.doctrees/SpinalHDL/Design errors/scope_violation.doctree index cd235fea03c..737eea8d4ca 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/scope_violation.doctree and b/master/.doctrees/SpinalHDL/Design errors/scope_violation.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree b/master/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree index 3ef09b0aa70..8738747cc47 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree and b/master/.doctrees/SpinalHDL/Design errors/spinal_cant_clone.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree b/master/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree index af9c207610c..be853addae3 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree and b/master/.doctrees/SpinalHDL/Design errors/unassigned_register.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree b/master/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree index 1c77bd967e7..8333346bc12 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree and b/master/.doctrees/SpinalHDL/Design errors/unreachable_is_statement.doctree differ diff --git a/master/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree b/master/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree index 9743ae38e0d..ea540fec284 100644 Binary files a/master/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree and b/master/.doctrees/SpinalHDL/Design errors/width_mismatch.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree b/master/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree index c76eff6001b..beee9e48cbd 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree and b/master/.doctrees/SpinalHDL/Developers area/bus_slave_factory_impl.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/howotuselocalspinalclone.doctree b/master/.doctrees/SpinalHDL/Developers area/howotuselocalspinalclone.doctree index 404aca96ed9..1cc3148192c 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/howotuselocalspinalclone.doctree and b/master/.doctrees/SpinalHDL/Developers area/howotuselocalspinalclone.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/howtodocument.doctree b/master/.doctrees/SpinalHDL/Developers area/howtodocument.doctree index 5f789988f27..5f95bc425f2 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/howtodocument.doctree and b/master/.doctrees/SpinalHDL/Developers area/howtodocument.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/index.doctree b/master/.doctrees/SpinalHDL/Developers area/index.doctree index 8d24a0d1c7b..1b6066b4483 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/index.doctree and b/master/.doctrees/SpinalHDL/Developers area/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/mill support.doctree b/master/.doctrees/SpinalHDL/Developers area/mill support.doctree index db7c256344a..d8279bac47a 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/mill support.doctree and b/master/.doctrees/SpinalHDL/Developers area/mill support.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree b/master/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree index 99ec5e3911f..98b6a8f8103 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree and b/master/.doctrees/SpinalHDL/Developers area/spinalhdl_datamodel.doctree differ diff --git a/master/.doctrees/SpinalHDL/Developers area/types.doctree b/master/.doctrees/SpinalHDL/Developers area/types.doctree index bb5e681492d..8958e61d92b 100644 Binary files a/master/.doctrees/SpinalHDL/Developers area/types.doctree and b/master/.doctrees/SpinalHDL/Developers area/types.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree index 50db5c4b4d0..d8068aff43b 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree index bc0f5c12b27..a64551ff771 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/jtag.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree index c660148a594..48b9f6b7620 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree index c1848b4d215..3e9344f30ff 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/pinesec.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/slots.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/slots.doctree index 933a47942b9..ee711946f22 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/slots.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/slots.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree b/master/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree index 54d6cd17ce5..2b0fc105159 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree and b/master/.doctrees/SpinalHDL/Examples/Advanced ones/timer.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree index 8f99df2176f..e348d2702b0 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree and b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/fractal.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree index 282d5b14317..e3b42bfec0c 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree and b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree index a67e40f312c..defbdbb9dc4 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree and b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/uart.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree index e077bb25a11..8fe7c4ad95a 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree and b/master/.doctrees/SpinalHDL/Examples/Intermediates ones/vga.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree index 311d83ca020..a0474c224bf 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/apb3.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree index 89d634c4fff..8646f6c7440 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/carry_adder.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree index 79fc0fa4ae5..96624528006 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/color_summing.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree index 9c5a46b2eb5..4b00cbd699f 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/counter_with_clear.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree index a41da793d66..c56d7e8e11f 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree index 62fe790fda1..34626208d9b 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/pll_resetctrl.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree index d7fc1fcba41..7379e80004a 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/rgb_to_gray.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree b/master/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree index 2e48b92f969..e3d1fa1c995 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree and b/master/.doctrees/SpinalHDL/Examples/Simple ones/sinus_rom.doctree differ diff --git a/master/.doctrees/SpinalHDL/Examples/index.doctree b/master/.doctrees/SpinalHDL/Examples/index.doctree index 1c869b81a4b..82a7657ecaf 100644 Binary files a/master/.doctrees/SpinalHDL/Examples/index.doctree and b/master/.doctrees/SpinalHDL/Examples/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Foreword/index.doctree b/master/.doctrees/SpinalHDL/Foreword/index.doctree index 5eb2a632896..1ff648aefad 100644 Binary files a/master/.doctrees/SpinalHDL/Foreword/index.doctree and b/master/.doctrees/SpinalHDL/Foreword/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Formal verification/index.doctree b/master/.doctrees/SpinalHDL/Formal verification/index.doctree index e81356005d1..ca61ad1d88f 100644 Binary files a/master/.doctrees/SpinalHDL/Formal verification/index.doctree and b/master/.doctrees/SpinalHDL/Formal verification/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree index 199fab6aa0a..c5b35c4f397 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/core.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree index 6b78ab66bd0..31761508fec 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree index c8acafebc4f..9684b07b4a7 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/lib.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree index 4f30303a1ef..97e120a22ff 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Cheatsheets/symbolic.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree index fe14d215db3..078566711e8 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree index 2471fa0cce6..886126dd1ef 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree index 29bc052da67..07e5712a050 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Install and setup.doctree b/master/.doctrees/SpinalHDL/Getting Started/Install and setup.doctree index d890a34f010..30ba2ed6858 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Install and setup.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Install and setup.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/IntelliJ.doctree b/master/.doctrees/SpinalHDL/Getting Started/IntelliJ.doctree index 5a72d4ae210..1f79d2a0d7d 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/IntelliJ.doctree and b/master/.doctrees/SpinalHDL/Getting Started/IntelliJ.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/SBT.doctree b/master/.doctrees/SpinalHDL/Getting Started/SBT.doctree index 1b61e88f7a0..65230e138f3 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/SBT.doctree and b/master/.doctrees/SpinalHDL/Getting Started/SBT.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree index 8013c4e2f4b..5e88faed771 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/basics.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree index 42b880116c1..b6bf8c6e8bd 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/coding_conventions.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree index 28c35b0551b..2ea9cd6b971 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree index 557ede2d69d..aeb2921e02c 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree and b/master/.doctrees/SpinalHDL/Getting Started/Scala Guide/interaction.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/VSCodium.doctree b/master/.doctrees/SpinalHDL/Getting Started/VSCodium.doctree index a4046ddee64..1fdec034d74 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/VSCodium.doctree and b/master/.doctrees/SpinalHDL/Getting Started/VSCodium.doctree differ diff --git a/master/.doctrees/SpinalHDL/Getting Started/index.doctree b/master/.doctrees/SpinalHDL/Getting Started/index.doctree index 8fdb785e987..cf868da1094 100644 Binary files a/master/.doctrees/SpinalHDL/Getting Started/index.doctree and b/master/.doctrees/SpinalHDL/Getting Started/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/A simple example.doctree b/master/.doctrees/SpinalHDL/Introduction/A simple example.doctree index 0a1684bf104..d7a61bb5ceb 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/A simple example.doctree and b/master/.doctrees/SpinalHDL/Introduction/A simple example.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/Contributing.doctree b/master/.doctrees/SpinalHDL/Introduction/Contributing.doctree index 4f8a591bc66..44de23d272c 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/Contributing.doctree and b/master/.doctrees/SpinalHDL/Introduction/Contributing.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/Getting in touch.doctree b/master/.doctrees/SpinalHDL/Introduction/Getting in touch.doctree index 955fb05fddc..743a0f11725 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/Getting in touch.doctree and b/master/.doctrees/SpinalHDL/Introduction/Getting in touch.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/License.doctree b/master/.doctrees/SpinalHDL/Introduction/License.doctree index 8a0bade6a3b..6e5be1dedb1 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/License.doctree and b/master/.doctrees/SpinalHDL/Introduction/License.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/Other learning materials.doctree b/master/.doctrees/SpinalHDL/Introduction/Other learning materials.doctree index 81553f6bc00..0a82bb5464b 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/Other learning materials.doctree and b/master/.doctrees/SpinalHDL/Introduction/Other learning materials.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/Projects using SpinalHDL.doctree b/master/.doctrees/SpinalHDL/Introduction/Projects using SpinalHDL.doctree index 62da5a96f95..c1113d55c0c 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/Projects using SpinalHDL.doctree and b/master/.doctrees/SpinalHDL/Introduction/Projects using SpinalHDL.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/SpinalHDL.doctree b/master/.doctrees/SpinalHDL/Introduction/SpinalHDL.doctree index 271080d57a6..c939377baa3 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/SpinalHDL.doctree and b/master/.doctrees/SpinalHDL/Introduction/SpinalHDL.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/faq.doctree b/master/.doctrees/SpinalHDL/Introduction/faq.doctree index 099b2a82140..a527633ce71 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/faq.doctree and b/master/.doctrees/SpinalHDL/Introduction/faq.doctree differ diff --git a/master/.doctrees/SpinalHDL/Introduction/index.doctree b/master/.doctrees/SpinalHDL/Introduction/index.doctree index 9ea68c7366a..bc17694d908 100644 Binary files a/master/.doctrees/SpinalHDL/Introduction/index.doctree and b/master/.doctrees/SpinalHDL/Introduction/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/index.doctree b/master/.doctrees/SpinalHDL/Legacy/index.doctree index d49fc9f1380..54171c11d1a 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/index.doctree and b/master/.doctrees/SpinalHDL/Legacy/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree b/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree index e2641ff0706..c1e29ef42d5 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree and b/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree b/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree index 112a75eff40..8bc781f17a1 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree and b/master/.doctrees/SpinalHDL/Legacy/pinsec/hardware_toplevel.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree b/master/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree index ca5a888243e..a0bc1a3a125 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree and b/master/.doctrees/SpinalHDL/Legacy/pinsec/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree b/master/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree index bb09f0c7ba3..f721cc5de7f 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree and b/master/.doctrees/SpinalHDL/Legacy/pinsec/introduction.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree b/master/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree index 78b7dd72b88..7e2485150ed 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree and b/master/.doctrees/SpinalHDL/Legacy/pinsec/software.doctree differ diff --git a/master/.doctrees/SpinalHDL/Legacy/riscv.doctree b/master/.doctrees/SpinalHDL/Legacy/riscv.doctree index 719efa739b9..6453d2fcf5e 100644 Binary files a/master/.doctrees/SpinalHDL/Legacy/riscv.doctree and b/master/.doctrees/SpinalHDL/Legacy/riscv.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree index 4d5ef1afa6f..97a1ea37d0e 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/ahblite3.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree index 77cd1ffbedd..f53f64841f2 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/amba3/apb3.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree index ae53327063c..24eedafcee4 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/amba4/axi4.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree index 123d3609f33..772e52ec6e1 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/avalon/avalonmm.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/index.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/index.doctree index 3ab942f871d..5a3dbd05bb8 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink.doctree index 05bc0c08bd4..07f3e1f15f8 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric.doctree b/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric.doctree index 235b5bb8252..cc65a9cca44 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric.doctree and b/master/.doctrees/SpinalHDL/Libraries/Bus/tilelink/tilelink_fabric.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Com/index.doctree b/master/.doctrees/SpinalHDL/Libraries/Com/index.doctree index 635a23f6d48..42af67b838c 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Com/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/Com/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Com/spiXdr.doctree b/master/.doctrees/SpinalHDL/Libraries/Com/spiXdr.doctree index f9185ce4f75..1c7f90e7b98 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Com/spiXdr.doctree and b/master/.doctrees/SpinalHDL/Libraries/Com/spiXdr.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Com/uart.doctree b/master/.doctrees/SpinalHDL/Libraries/Com/uart.doctree index acba40c4f79..50ed6495792 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Com/uart.doctree and b/master/.doctrees/SpinalHDL/Libraries/Com/uart.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree b/master/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree index 1b44ea14963..41f5ed4bb57 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree and b/master/.doctrees/SpinalHDL/Libraries/Com/usb_device.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree b/master/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree index 3da32e63c88..8b3498f15df 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree and b/master/.doctrees/SpinalHDL/Libraries/Com/usb_ohci.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree b/master/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree index b4ac5d1ed8c..56f46ed7c83 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree and b/master/.doctrees/SpinalHDL/Libraries/EDA/altera/qsysify.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree b/master/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree index fe6432e2b5b..5088afd4d4b 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree and b/master/.doctrees/SpinalHDL/Libraries/EDA/altera/quartus_flow.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/EDA/index.doctree b/master/.doctrees/SpinalHDL/Libraries/EDA/index.doctree index 87c0769bdd6..c7384ccfbcc 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/EDA/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/EDA/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree b/master/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree index 761d4e17141..cbd91689785 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree and b/master/.doctrees/SpinalHDL/Libraries/Graphics/colors.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree b/master/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree index 80af3648323..de06097bdbf 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/Graphics/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree b/master/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree index 07828b4df99..2f9a49439dc 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree and b/master/.doctrees/SpinalHDL/Libraries/Graphics/vga.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/IO/index.doctree b/master/.doctrees/SpinalHDL/Libraries/IO/index.doctree index ccef393ab3d..c74c1b34242 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/IO/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/IO/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree b/master/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree index 78aabce06ed..fb694422e8c 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree and b/master/.doctrees/SpinalHDL/Libraries/IO/readableOpenDrain.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree b/master/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree index b95f9a44b96..028f0b048ed 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree and b/master/.doctrees/SpinalHDL/Libraries/IO/tristate.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree b/master/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree index 57d60d8ee78..bffdc5b8027 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree and b/master/.doctrees/SpinalHDL/Libraries/Misc/PLIC/plic_mapper.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Misc/index.doctree b/master/.doctrees/SpinalHDL/Libraries/Misc/index.doctree index f3729186873..a15e8ad5973 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Misc/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/Misc/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Misc/service_plugin.doctree b/master/.doctrees/SpinalHDL/Libraries/Misc/service_plugin.doctree index 0c1cb9a3f3b..6f59c02fd07 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Misc/service_plugin.doctree and b/master/.doctrees/SpinalHDL/Libraries/Misc/service_plugin.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Pipeline/index.doctree b/master/.doctrees/SpinalHDL/Libraries/Pipeline/index.doctree index 6933a931ce7..5ca246c5a0a 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Pipeline/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/Pipeline/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/Pipeline/introduction.doctree b/master/.doctrees/SpinalHDL/Libraries/Pipeline/introduction.doctree index 37e58557f30..a69981541ec 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/Pipeline/introduction.doctree and b/master/.doctrees/SpinalHDL/Libraries/Pipeline/introduction.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/binarySystem.doctree b/master/.doctrees/SpinalHDL/Libraries/binarySystem.doctree index be5633f29f7..0b3211a8153 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/binarySystem.doctree and b/master/.doctrees/SpinalHDL/Libraries/binarySystem.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree b/master/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree index 57efcbe26da..d19e74980dd 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree and b/master/.doctrees/SpinalHDL/Libraries/bus_slave_factory.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/fiber.doctree b/master/.doctrees/SpinalHDL/Libraries/fiber.doctree index d23cf2cb633..cf04dcae5f6 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/fiber.doctree and b/master/.doctrees/SpinalHDL/Libraries/fiber.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/flow.doctree b/master/.doctrees/SpinalHDL/Libraries/flow.doctree index 160e07116a7..26aff996e6f 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/flow.doctree and b/master/.doctrees/SpinalHDL/Libraries/flow.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/fragment.doctree b/master/.doctrees/SpinalHDL/Libraries/fragment.doctree index e97ce05509c..000f8fffe77 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/fragment.doctree and b/master/.doctrees/SpinalHDL/Libraries/fragment.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/fsm.doctree b/master/.doctrees/SpinalHDL/Libraries/fsm.doctree index 5f2055f26fe..aebdfc87244 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/fsm.doctree and b/master/.doctrees/SpinalHDL/Libraries/fsm.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/index.doctree b/master/.doctrees/SpinalHDL/Libraries/index.doctree index 8d00af81583..efd94558952 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/index.doctree and b/master/.doctrees/SpinalHDL/Libraries/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/regIf.doctree b/master/.doctrees/SpinalHDL/Libraries/regIf.doctree index 749b17bbd06..ce79b3408da 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/regIf.doctree and b/master/.doctrees/SpinalHDL/Libraries/regIf.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/stream.doctree b/master/.doctrees/SpinalHDL/Libraries/stream.doctree index 73316f90b69..78c83439c7a 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/stream.doctree and b/master/.doctrees/SpinalHDL/Libraries/stream.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/utils.doctree b/master/.doctrees/SpinalHDL/Libraries/utils.doctree index 8b045dde5fa..641db401a1c 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/utils.doctree and b/master/.doctrees/SpinalHDL/Libraries/utils.doctree differ diff --git a/master/.doctrees/SpinalHDL/Libraries/vexriscv.doctree b/master/.doctrees/SpinalHDL/Libraries/vexriscv.doctree index 145fc306a84..cff4fef2c72 100644 Binary files a/master/.doctrees/SpinalHDL/Libraries/vexriscv.doctree and b/master/.doctrees/SpinalHDL/Libraries/vexriscv.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/analog_inout.doctree b/master/.doctrees/SpinalHDL/Other language features/analog_inout.doctree index 335d3c899a1..d5fb7d2d524 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/analog_inout.doctree and b/master/.doctrees/SpinalHDL/Other language features/analog_inout.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/assertion.doctree b/master/.doctrees/SpinalHDL/Other language features/assertion.doctree index 0f9975b6f39..67d8baf6912 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/assertion.doctree and b/master/.doctrees/SpinalHDL/Other language features/assertion.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/index.doctree b/master/.doctrees/SpinalHDL/Other language features/index.doctree index 90273c2a915..79e99b3ea52 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/index.doctree and b/master/.doctrees/SpinalHDL/Other language features/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/report.doctree b/master/.doctrees/SpinalHDL/Other language features/report.doctree index 23a3b8b0ca2..a67596e523c 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/report.doctree and b/master/.doctrees/SpinalHDL/Other language features/report.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/scope_property.doctree b/master/.doctrees/SpinalHDL/Other language features/scope_property.doctree index ba93f0ab837..f70b95f3626 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/scope_property.doctree and b/master/.doctrees/SpinalHDL/Other language features/scope_property.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/stub.doctree b/master/.doctrees/SpinalHDL/Other language features/stub.doctree index 85639a85c75..d40c864de74 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/stub.doctree and b/master/.doctrees/SpinalHDL/Other language features/stub.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/utils.doctree b/master/.doctrees/SpinalHDL/Other language features/utils.doctree index a1d2e195018..ff37d2314df 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/utils.doctree and b/master/.doctrees/SpinalHDL/Other language features/utils.doctree differ diff --git a/master/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree b/master/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree index de97c46ecd1..4efe89a8f37 100644 Binary files a/master/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree and b/master/.doctrees/SpinalHDL/Other language features/vhdl_generation.doctree differ diff --git a/master/.doctrees/SpinalHDL/Semantic/assignments.doctree b/master/.doctrees/SpinalHDL/Semantic/assignments.doctree index cac3d38a1c3..80a29eca78f 100644 Binary files a/master/.doctrees/SpinalHDL/Semantic/assignments.doctree and b/master/.doctrees/SpinalHDL/Semantic/assignments.doctree differ diff --git a/master/.doctrees/SpinalHDL/Semantic/index.doctree b/master/.doctrees/SpinalHDL/Semantic/index.doctree index 9a6b89c5a5f..461e7506163 100644 Binary files a/master/.doctrees/SpinalHDL/Semantic/index.doctree and b/master/.doctrees/SpinalHDL/Semantic/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Semantic/rules.doctree b/master/.doctrees/SpinalHDL/Semantic/rules.doctree index 25c4c9e24ee..e69c2b2bfab 100644 Binary files a/master/.doctrees/SpinalHDL/Semantic/rules.doctree and b/master/.doctrees/SpinalHDL/Semantic/rules.doctree differ diff --git a/master/.doctrees/SpinalHDL/Semantic/when_switch.doctree b/master/.doctrees/SpinalHDL/Semantic/when_switch.doctree index 9f2baf815fd..ad4d292d213 100644 Binary files a/master/.doctrees/SpinalHDL/Semantic/when_switch.doctree and b/master/.doctrees/SpinalHDL/Semantic/when_switch.doctree differ diff --git a/master/.doctrees/SpinalHDL/Sequential logic/index.doctree b/master/.doctrees/SpinalHDL/Sequential logic/index.doctree index b2af38e9e61..c887cf16dc0 100644 Binary files a/master/.doctrees/SpinalHDL/Sequential logic/index.doctree and b/master/.doctrees/SpinalHDL/Sequential logic/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Sequential logic/memory.doctree b/master/.doctrees/SpinalHDL/Sequential logic/memory.doctree index c2f0e25aa00..5e3ab0a9915 100644 Binary files a/master/.doctrees/SpinalHDL/Sequential logic/memory.doctree and b/master/.doctrees/SpinalHDL/Sequential logic/memory.doctree differ diff --git a/master/.doctrees/SpinalHDL/Sequential logic/registers.doctree b/master/.doctrees/SpinalHDL/Sequential logic/registers.doctree index 45f15ba9c31..b88743c8705 100644 Binary files a/master/.doctrees/SpinalHDL/Sequential logic/registers.doctree and b/master/.doctrees/SpinalHDL/Sequential logic/registers.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/bootstraps.doctree b/master/.doctrees/SpinalHDL/Simulation/bootstraps.doctree index 6ef1060a98c..6b328471fb6 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/bootstraps.doctree and b/master/.doctrees/SpinalHDL/Simulation/bootstraps.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/clock.doctree b/master/.doctrees/SpinalHDL/Simulation/clock.doctree index 1768be150d3..8893b468959 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/clock.doctree and b/master/.doctrees/SpinalHDL/Simulation/clock.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/engine.doctree b/master/.doctrees/SpinalHDL/Simulation/engine.doctree index 5aab22ff26e..67c7fc5edb7 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/engine.doctree and b/master/.doctrees/SpinalHDL/Simulation/engine.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree index c9609367da3..3b205c1d6e8 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/asynchronous.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree index 6456b66cbdc..01261431455 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/dual_clock_fifo.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/index.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/index.doctree index 1335acef442..89d168c981c 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/index.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree index 13260f56d5b..578eba5270f 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/single_clock_fifo.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree index a0108463f8e..ffeb1a68a25 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/synchronous.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree index e8e40288fbb..956e40db970 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/uart_decoder.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree b/master/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree index 5ec3dc50224..dc2b17fa988 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree and b/master/.doctrees/SpinalHDL/Simulation/examples/uart_encoder.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/index.doctree b/master/.doctrees/SpinalHDL/Simulation/index.doctree index ceb14dba3a2..3ea69f5dfa9 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/index.doctree and b/master/.doctrees/SpinalHDL/Simulation/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree b/master/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree index a979465a808..ae65e5c4c89 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree and b/master/.doctrees/SpinalHDL/Simulation/install/GHDL.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree b/master/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree index f0e038662cc..a5b98adf4ec 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree and b/master/.doctrees/SpinalHDL/Simulation/install/Icarus Verilog.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/install/VCS.doctree b/master/.doctrees/SpinalHDL/Simulation/install/VCS.doctree index ebf02bec41a..96766675d4d 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/install/VCS.doctree and b/master/.doctrees/SpinalHDL/Simulation/install/VCS.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree b/master/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree index ed945d7b03c..6b5dad244f7 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree and b/master/.doctrees/SpinalHDL/Simulation/install/Verilator.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/install/index.doctree b/master/.doctrees/SpinalHDL/Simulation/install/index.doctree index ba64fc00c40..f390b2696db 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/install/index.doctree and b/master/.doctrees/SpinalHDL/Simulation/install/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/sensitive.doctree b/master/.doctrees/SpinalHDL/Simulation/sensitive.doctree index f3140a57868..42d64560855 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/sensitive.doctree and b/master/.doctrees/SpinalHDL/Simulation/sensitive.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/signal.doctree b/master/.doctrees/SpinalHDL/Simulation/signal.doctree index 616ac647137..26042bfb5e8 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/signal.doctree and b/master/.doctrees/SpinalHDL/Simulation/signal.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/simulator_specifics.doctree b/master/.doctrees/SpinalHDL/Simulation/simulator_specifics.doctree index f3f8404e370..f3095a60da3 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/simulator_specifics.doctree and b/master/.doctrees/SpinalHDL/Simulation/simulator_specifics.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/threadFull.doctree b/master/.doctrees/SpinalHDL/Simulation/threadFull.doctree index 5d7f530a0fb..72c496dd37f 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/threadFull.doctree and b/master/.doctrees/SpinalHDL/Simulation/threadFull.doctree differ diff --git a/master/.doctrees/SpinalHDL/Simulation/threadLess.doctree b/master/.doctrees/SpinalHDL/Simulation/threadLess.doctree index fb401ca5b1f..003b4d9e9a8 100644 Binary files a/master/.doctrees/SpinalHDL/Simulation/threadLess.doctree and b/master/.doctrees/SpinalHDL/Simulation/threadLess.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/area.doctree b/master/.doctrees/SpinalHDL/Structuring/area.doctree index b2ebe898a87..34d84fbb9de 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/area.doctree and b/master/.doctrees/SpinalHDL/Structuring/area.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/blackbox.doctree b/master/.doctrees/SpinalHDL/Structuring/blackbox.doctree index cab5975c7e7..ff9a81e3d9e 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/blackbox.doctree and b/master/.doctrees/SpinalHDL/Structuring/blackbox.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/clock_domain.doctree b/master/.doctrees/SpinalHDL/Structuring/clock_domain.doctree index 971b1c8f45c..0dd6dae7ee3 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/clock_domain.doctree and b/master/.doctrees/SpinalHDL/Structuring/clock_domain.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree b/master/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree index 541deec31bf..c7aeb7de727 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree and b/master/.doctrees/SpinalHDL/Structuring/components_hierarchy.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/function.doctree b/master/.doctrees/SpinalHDL/Structuring/function.doctree index 9e33cfac416..b9a9422972c 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/function.doctree and b/master/.doctrees/SpinalHDL/Structuring/function.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/index.doctree b/master/.doctrees/SpinalHDL/Structuring/index.doctree index e59989cc396..29eff110d5c 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/index.doctree and b/master/.doctrees/SpinalHDL/Structuring/index.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/naming.doctree b/master/.doctrees/SpinalHDL/Structuring/naming.doctree index 4491f038aeb..ac4fa81719c 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/naming.doctree and b/master/.doctrees/SpinalHDL/Structuring/naming.doctree differ diff --git a/master/.doctrees/SpinalHDL/Structuring/parametrization.doctree b/master/.doctrees/SpinalHDL/Structuring/parametrization.doctree index a5c3f8afbe2..4e8ff66dfe7 100644 Binary files a/master/.doctrees/SpinalHDL/Structuring/parametrization.doctree and b/master/.doctrees/SpinalHDL/Structuring/parametrization.doctree differ diff --git a/master/.doctrees/SpinalHDL/miscelenea/chisel.doctree b/master/.doctrees/SpinalHDL/miscelenea/chisel.doctree index 16612985e06..8c75c0f829a 100644 Binary files a/master/.doctrees/SpinalHDL/miscelenea/chisel.doctree and b/master/.doctrees/SpinalHDL/miscelenea/chisel.doctree differ diff --git a/master/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree b/master/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree index 890ec4c3d00..08c153e73c4 100644 Binary files a/master/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree and b/master/.doctrees/SpinalHDL/miscelenea/core/core_components.doctree differ diff --git a/master/.doctrees/SpinalHDL/miscelenea/core/elements.doctree b/master/.doctrees/SpinalHDL/miscelenea/core/elements.doctree index 4203e79987b..78e6f267c20 100644 Binary files a/master/.doctrees/SpinalHDL/miscelenea/core/elements.doctree and b/master/.doctrees/SpinalHDL/miscelenea/core/elements.doctree differ diff --git a/master/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree b/master/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree index de102d33ca6..d0d97063d21 100644 Binary files a/master/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree and b/master/.doctrees/SpinalHDL/miscelenea/frequent_errors.doctree differ diff --git a/master/.doctrees/SpinalHDL/miscelenea/index.doctree b/master/.doctrees/SpinalHDL/miscelenea/index.doctree index a307f35a920..21319faf317 100644 Binary files a/master/.doctrees/SpinalHDL/miscelenea/index.doctree and b/master/.doctrees/SpinalHDL/miscelenea/index.doctree differ diff --git a/master/.doctrees/environment.pickle b/master/.doctrees/environment.pickle index 50b8f5f8630..f7de834c77d 100644 Binary files a/master/.doctrees/environment.pickle and b/master/.doctrees/environment.pickle differ diff --git a/master/.doctrees/index.doctree b/master/.doctrees/index.doctree index e6c99dc2119..3abd1b3eca9 100644 Binary files a/master/.doctrees/index.doctree and b/master/.doctrees/index.doctree differ diff --git a/master/SpinalHDL/Data types/AFix.html b/master/SpinalHDL/Data types/AFix.html index 86a62ba853a..61e53320390 100644 --- a/master/SpinalHDL/Data types/AFix.html +++ b/master/SpinalHDL/Data types/AFix.html @@ -420,7 +420,7 @@
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Data types/Fix.html b/master/SpinalHDL/Data types/Fix.html index 52b47f82d9e..30f52c92df4 100644 --- a/master/SpinalHDL/Data types/Fix.html +++ b/master/SpinalHDL/Data types/Fix.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Data types/Int.html b/master/SpinalHDL/Data types/Int.html index d15acfd3e17..2969f328b9f 100644 --- a/master/SpinalHDL/Data types/Int.html +++ b/master/SpinalHDL/Data types/Int.html @@ -420,7 +420,7 @@Note
-Notice the difference in behaviour between x >> 2
(result 2 bit narrower than x) and x >> U(2)
(keeping width)
+
Notice the difference in behavior between x >> 2
(result 2 bit narrower than x) and x >> U(2)
(keeping width)
due to the Scala type of y
.
In the first case “2” is an Int
(which can be seen as an
“elaboration integer constant”), and in the second case it is a hardware signal
@@ -2239,7 +2239,7 @@
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
x.sCount(condition: T => Bool)
Count the number of occurence matching a given condition in the Vec.
Count the number of occurrence matching a given condition in the Vec.
UInt
x.sCount(value: T)
Count the number of occurence of a value in the Vec.
Count the number of occurrence of a value in the Vec.
UInt
x.sExists(condition: T => Bool)
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Data types/bundle.html b/master/SpinalHDL/Data types/bundle.html index c01317c8953..41a951197e7 100644 --- a/master/SpinalHDL/Data types/bundle.html +++ b/master/SpinalHDL/Data types/bundle.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Data types/enum.html b/master/SpinalHDL/Data types/enum.html index 9ea62744319..f94019483bc 100644 --- a/master/SpinalHDL/Data types/enum.html +++ b/master/SpinalHDL/Data types/enum.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Data types/index.html b/master/SpinalHDL/Data types/index.html index 127186975ca..2099f1b2694 100644 --- a/master/SpinalHDL/Data types/index.html +++ b/master/SpinalHDL/Data types/index.html @@ -420,7 +420,7 @@Auto-range Fixed-point numbers (add,sub,mul support)
Floating-point numbers (experimental support)
Additionaly, if you want to assign a don’t care value to some hardware, for instance, to provide a default value, you can use the assignDontCare API to do so.
+Additionally, if you want to assign a don’t care value to some hardware, for instance, to provide a default value, you can use the assignDontCare API to do so.
val myBits = Bits(8 bits)
myBits.assignDontCare() // Will assign all the bits to 'x'
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/combinatorial_loop.html b/master/SpinalHDL/Design errors/combinatorial_loop.html index 3ffdb81b20b..47a491ead3b 100644 --- a/master/SpinalHDL/Design errors/combinatorial_loop.html +++ b/master/SpinalHDL/Design errors/combinatorial_loop.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/hierarchy_violation.html b/master/SpinalHDL/Design errors/hierarchy_violation.html index 8dc8a352de4..b70ca05299a 100644 --- a/master/SpinalHDL/Design errors/hierarchy_violation.html +++ b/master/SpinalHDL/Design errors/hierarchy_violation.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/index.html b/master/SpinalHDL/Design errors/index.html index d53f0edcfe2..69681cc42c5 100644 --- a/master/SpinalHDL/Design errors/index.html +++ b/master/SpinalHDL/Design errors/index.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/iobundle.html b/master/SpinalHDL/Design errors/iobundle.html index 6d7c59aa0c0..8725c69f18c 100644 --- a/master/SpinalHDL/Design errors/iobundle.html +++ b/master/SpinalHDL/Design errors/iobundle.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/latch_detected.html b/master/SpinalHDL/Design errors/latch_detected.html index 86048f59bfc..167b61add51 100644 --- a/master/SpinalHDL/Design errors/latch_detected.html +++ b/master/SpinalHDL/Design errors/latch_detected.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/no_driver_on.html b/master/SpinalHDL/Design errors/no_driver_on.html index f5078d25e4c..37a1ee0b2ea 100644 --- a/master/SpinalHDL/Design errors/no_driver_on.html +++ b/master/SpinalHDL/Design errors/no_driver_on.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/nullpointerexception.html b/master/SpinalHDL/Design errors/nullpointerexception.html index 2c58753f125..9c44db98f18 100644 --- a/master/SpinalHDL/Design errors/nullpointerexception.html +++ b/master/SpinalHDL/Design errors/nullpointerexception.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/out_of_range_constant.html b/master/SpinalHDL/Design errors/out_of_range_constant.html index 03788afde0b..1bdedbd006e 100644 --- a/master/SpinalHDL/Design errors/out_of_range_constant.html +++ b/master/SpinalHDL/Design errors/out_of_range_constant.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/scope_violation.html b/master/SpinalHDL/Design errors/scope_violation.html index f9c974768a2..1d436d05a55 100644 --- a/master/SpinalHDL/Design errors/scope_violation.html +++ b/master/SpinalHDL/Design errors/scope_violation.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/spinal_cant_clone.html b/master/SpinalHDL/Design errors/spinal_cant_clone.html index 482a5e414ca..fc53cc18d04 100644 --- a/master/SpinalHDL/Design errors/spinal_cant_clone.html +++ b/master/SpinalHDL/Design errors/spinal_cant_clone.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/unassigned_register.html b/master/SpinalHDL/Design errors/unassigned_register.html index a55674049d1..12ed3e904e1 100644 --- a/master/SpinalHDL/Design errors/unassigned_register.html +++ b/master/SpinalHDL/Design errors/unassigned_register.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Design errors/width_mismatch.html b/master/SpinalHDL/Design errors/width_mismatch.html index 38fdc7ce20d..cae01bf6513 100644 --- a/master/SpinalHDL/Design errors/width_mismatch.html +++ b/master/SpinalHDL/Design errors/width_mismatch.html @@ -420,7 +420,7 @@- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/bus_slave_factory_impl.html b/master/SpinalHDL/Developers area/bus_slave_factory_impl.html index 730f7331723..077e331995f 100644 --- a/master/SpinalHDL/Developers area/bus_slave_factory_impl.html +++ b/master/SpinalHDL/Developers area/bus_slave_factory_impl.html @@ -420,7 +420,7 @@Let’s describe primitives abstract function :
-trait BusSlaveFactory extends Area{
+trait BusSlaveFactory extends Area {
def busDataWidth : Int
@@ -1387,13 +1387,13 @@ BusSlaveFactory def nonStopWrite( that : Data,
bitOffset : Int = 0) : Unit
- //...
+ // ...
}
Then let’s operate the magic to implement all utile based on them :
-trait BusSlaveFactory extends Area{
- //...
+trait BusSlaveFactory extends Are {
+ // ...
def readAndWrite(that : Data,
address: BigInt,
bitOffset : Int = 0): Unit = {
@@ -1422,7 +1422,7 @@ BusSlaveFactory address: BigInt,
bitOffset : Int = 0) : Unit = {
that.valid := False
- onWrite(address){
+ onWrite(address) {
that.valid := True
}
nonStopWrite(that.payload,bitOffset)
@@ -1452,7 +1452,7 @@ BusSlaveFactory val reg = Reg(that)
reg := reg | that
read(reg,address,bitOffset)
- onRead(address){
+ onRead(address) {
reg := that
}
}
@@ -1462,7 +1462,7 @@ BusSlaveFactory validBitOffset : Int,
payloadBitOffset : Int) : Unit = {
that.ready := False
- onRead(address){
+ onRead(address) {
that.ready := True
}
read(that.valid ,address,validBitOffset)
@@ -1484,7 +1484,7 @@ BusSlaveFactory val wordCount = (widthOf(that) - 1) / busDataWidth + 1
for (wordId <- (0 until wordCount)) {
write(
- that = new DataWrapper{
+ that = new DataWrapper {
override def getBitsWidth: Int =
Math.min(busDataWidth, widthOf(that) - wordId * busDataWidth)
@@ -1534,7 +1534,7 @@ BusSlaveFactoryDelayed
Then let’s implement the BusSlaveFactoryDelayed
itself :
-trait BusSlaveFactoryDelayed extends BusSlaveFactory{
+trait BusSlaveFactoryDelayed extends BusSlaveFactory {
// elements is an array of all BusSlaveFactoryElement requested
val elements = ArrayBuffer[BusSlaveFactoryElement]()
@@ -1574,7 +1574,7 @@ BusSlaveFactoryDelayed elements += BusSlaveFactoryNonStopWrite(that,bitOffset)
}
- //This is the only thing that should be implement by class that extends BusSlaveFactoryDelayed
+ // This is the only thing that should be implement by class that extends BusSlaveFactoryDelayed
def build() : Unit
component.addPrePopTask(() => build())
@@ -1624,13 +1624,13 @@ AvalonMMSlaveFactory
object AvalonMMSlaveFactory{
+object AvalonMMSlaveFactory {
def getAvalonConfig( addressWidth : Int,
dataWidth : Int) = {
- AvalonMMConfig.pipelined( //Create a simple pipelined configuration of the Avalon Bus
+ AvalonMMConfig.pipelined( // Create a simple pipelined configuration of the Avalon Bus
addressWidth = addressWidth,
dataWidth = dataWidth
- ).copy( //Change some parameters of the configuration
+ ).copy( // Change some parameters of the configuration
useByteEnable = false,
useWaitRequestn = false
)
@@ -1641,7 +1641,7 @@ AvalonMMSlaveFactory
Then, let’s implement the AvalonMMSlaveFactory itself.
-class AvalonMMSlaveFactory(bus : AvalonMM) extends BusSlaveFactoryDelayed{
+class AvalonMMSlaveFactory(bus : AvalonMM) extends BusSlaveFactoryDelayed {
assert(bus.c == AvalonMMSlaveFactory.getAvalonConfig(bus.c.addressWidth,bus.c.dataWidth))
val readAtCmd = Flow(Bits(bus.c.dataWidth bits))
@@ -1660,10 +1660,10 @@ AvalonMMSlaveFactory case _ =>
}
- for((address,jobs) <- elementsPerAddress){
- when(bus.address === address){
- when(bus.write){
- for(element <- jobs) element match{
+ for((address,jobs) <- elementsPerAddress) {
+ when(bus.address === address) {
+ when(bus.write) {
+ for(element <- jobs) element match {
case element : BusSlaveFactoryWrite => {
element.that.assignFromBits(bus.writeData(element.bitOffset, element.that.getBitsWidth bits))
}
@@ -1671,8 +1671,8 @@ AvalonMMSlaveFactory case _ =>
}
}
- when(bus.read){
- for(element <- jobs) element match{
+ when(bus.read) {
+ for(element <- jobs) element match {
case element : BusSlaveFactoryRead => {
readAtCmd.payload(element.bitOffset, element.that.getBitsWidth bits) := element.that.asBits
}
@@ -1726,7 +1726,7 @@ Conclusion
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/howotuselocalspinalclone.html b/master/SpinalHDL/Developers area/howotuselocalspinalclone.html
index c1dec816f7a..65b866effd5 100644
--- a/master/SpinalHDL/Developers area/howotuselocalspinalclone.html
+++ b/master/SpinalHDL/Developers area/howotuselocalspinalclone.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1363,7 +1363,7 @@ Done
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1416,7 +1416,7 @@ example
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/howtodocument.html b/master/SpinalHDL/Developers area/howtodocument.html
index 8bc05c7131a..ebc43695694 100644
--- a/master/SpinalHDL/Developers area/howtodocument.html
+++ b/master/SpinalHDL/Developers area/howtodocument.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Developers area/index.html b/master/SpinalHDL/Developers area/index.html
index 55b52f98b2f..d6a59ee29bc 100644
--- a/master/SpinalHDL/Developers area/index.html
+++ b/master/SpinalHDL/Developers area/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1348,7 +1348,7 @@ Developers area
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/mill support.html b/master/SpinalHDL/Developers area/mill support.html
index 7a168becbe9..1c64df7886f 100644
--- a/master/SpinalHDL/Developers area/mill support.html
+++ b/master/SpinalHDL/Developers area/mill support.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1308,7 +1308,7 @@ Publish locally
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/spinalhdl_datamodel.html b/master/SpinalHDL/Developers area/spinalhdl_datamodel.html
index 7ae30418450..0a7ffa69335 100644
--- a/master/SpinalHDL/Developers area/spinalhdl_datamodel.html
+++ b/master/SpinalHDL/Developers area/spinalhdl_datamodel.html
@@ -420,7 +420,7 @@
More generally, most of the graph checks and transformations done by SpinalHDL are located in <https://github.com/SpinalHDL/SpinalHDL/blob/dev/core/src/main/scala/spinal/core/internals/Phase.scala>
Here is an example that identifies all adders within the netlist without utilizing shortcuts. :
-object FindAllAddersManualy {
- class Toplevel extends Component{
+object FindAllAddersManually {
+ class Toplevel extends Component {
val a,b,c = in UInt(8 bits)
val result = out(a + b + c)
}
import spinal.core.internals._
- class PrintBaseTypes(message : String) extends Phase{
+ class PrintBaseTypes(message : String) extends Phase {
override def impl(pc: PhaseContext) = {
println(message)
@@ -1308,10 +1308,10 @@ Exploring the datamodel def main(args: Array[String]): Unit = {
val config = SpinalConfig()
- //Add a early phase
+ // Add a early phase
config.addTransformationPhase(new PrintBaseTypes("Early"))
- //Add a late phase
+ // Add a late phase
config.phasesInserters += {phases =>
phases.insert(phases.indexWhere(_.isInstanceOf[PhaseVerilog]), new PrintBaseTypes("Late"))
}
@@ -1339,7 +1339,7 @@ Exploring the datamodelPlease note that in many cases, shortcuts are available. All the recursive processes mentioned earlier could have been replaced by a single one. :
override def impl(pc: PhaseContext) = {
println(message)
- pc.walkExpression{
+ pc.walkExpression {
case op: Operator.BitVector.Add => println(s"Found ${op.left} + ${op.right}")
case _ =>
}
@@ -1359,25 +1359,25 @@ Modifying a netlist as a user without plugins
mySignal.removeAssignments : Will remove all previous := affecting the given signal
-mySignal.removeStatement : Will void the existance of the signal
+mySignal.removeStatement : Will void the existence of the signal
mySignal.setAsDirectionLess : Will turn a in / out signal into a internal signal
mySignal.setName : Enforce a given name on a signal (there is many other variants)
mySubComponent.mySignal.pull() : Will provide a readable copy of the given signal, even if that signal is somewhere else in the hierarchy
myComponent.rework{ myCode } : Execute myCode in the context of myComponent, allowing modifying it with the user API
For example, the following code can be used to modify a top-level component by adding a three-stage shift register to each input and output of the component. This is particularly useful for synthesis testing.
-def ffIo[T <: Component](c : T): T ={
+def ffIo[T <: Component](c : T): T = {
def buf1[T <: Data](that : T) = KeepAttribute(RegNext(that)).addAttribute("DONT_TOUCH")
def buf[T <: Data](that : T) = buf1(buf1(buf1(that)))
- c.rework{
+ c.rework {
val ios = c.getAllIo.toList
ios.foreach{io =>
- if(io.getName() == "clk"){
- //Do nothing
- } else if(io.isInput){
- io.setAsDirectionLess().allowDirectionLessIo //allowDirectionLessIo is to disable the io Bundle linting
+ if(io.getName() == "clk") {
+ // Do nothing
+ } else if(io.isInput) {
+ io.setAsDirectionLess().allowDirectionLessIo // allowDirectionLessIo is to disable the io Bundle linting
io := buf(in(cloneOf(io).setName(io.getName() + "_wrap")))
- } else if(io.isOutput){
+ } else if(io.isOutput) {
io.setAsDirectionLess().allowDirectionLessIo
out(cloneOf(io).setName(io.getName() + "_wrap")) := buf(io)
} else ???
@@ -1409,12 +1409,12 @@ Modifying a netlist as a user without pluginsobject key
-when(something){
- if(somehow){
+when(something) {
+ if(somehow) {
get(key) := True
}
}
-when(database(key)){
+when(database(key)) {
...
}
@@ -1441,7 +1441,7 @@ User space netlist analysis
Enumerating every ClockDomain in use
In this case, this is accomplished after the elaboration process by utilizing the SpinalHDL report.
-object MyTopLevelVerilog extends App{
+object MyTopLevelVerilog extends App {
class MyTopLevel extends Component {
val cdA = ClockDomain.external("rawrr")
val regA = cdA(RegNext(False))
@@ -1510,7 +1510,7 @@ Enumerating every ClockDomain in use
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Developers area/types.html b/master/SpinalHDL/Developers area/types.html
index ec4316c8a4f..9176048c618 100644
--- a/master/SpinalHDL/Developers area/types.html
+++ b/master/SpinalHDL/Developers area/types.html
@@ -419,7 +419,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -730,27 +730,27 @@
- Bus
@@ -1488,11 +1488,11 @@ Declaration syntaxval myBool := myUInt === U(7 -> true,(6 downto 0) -> false)
val myBool := myUInt === U(myUInt.range -> true)
-//For assignment purposes, you can omit the B/U/S, which also alow the use of the [default -> ???] feature
-myUInt := (default -> true) //Assign myUInt with "11111111"
-myUInt := (myUInt.range -> true) //Assign myUInt with "11111111"
-myUInt := (7 -> true,default -> false) //Assign myUInt with "10000000"
-myUInt := ((4 downto 1) -> true,default -> false) //Assign myUInt with "00011110"
+// For assignment purposes, you can omit the B/U/S, which also alow the use of the [default -> ???] feature
+myUInt := (default -> true) // Assign myUInt with "11111111"
+myUInt := (myUInt.range -> true) // Assign myUInt with "11111111"
+myUInt := (7 -> true,default -> false) // Assign myUInt with "10000000"
+myUInt := ((4 downto 1) -> true,default -> false) // Assign myUInt with "00011110"
@@ -1812,10 +1812,10 @@ Vec
val x,y,z = UInt(8 bits)
val myVecOf_xyz_ref = Vec(x,y,z)
-for(element <- myVecOf_xyz_ref){
- element := 0 //Assign x,y,z with the value 0
+for(element <- myVecOf_xyz_ref) {
+ element := 0 // Assign x,y,z with the value 0
}
-myVecOf_xyz_ref(1) := 3 //Assign y with the value 3
+myVecOf_xyz_ref(1) := 3 // Assign y with the value 3
@@ -1849,18 +1849,18 @@ Simple example (RGB/VGA)}
-And finaly instantiate your Bundles inside the hardware :
-val vgaIn = VGA(8) //Create a RGB instance
+And finally instantiate your Bundles inside the hardware :
+val vgaIn = VGA(8) // Create a RGB instance
val vgaOut = VGA(8)
-vgaOut := vgaIn //Assign the whole bundle
-vgaOut.color.green := 0 //Fix the green to zero
-val vgaInRgbIsBlack = vgaIn.rgb.isBlack //Get if the vgaIn rgb is black
+vgaOut := vgaIn // Assign the whole bundle
+vgaOut.color.green := 0 // Fix the green to zero
+val vgaInRgbIsBlack = vgaIn.rgb.isBlack // Get if the vgaIn rgb is black
If you want to specify your bundle as an input or an output of a Component, you have to do it by the following way :
class MyComponent extends Component {
val io = Bundle {
- val cmd = in(RGB(8)) //Don't forget the bracket around the bundle.
+ val cmd = in(RGB(8)) // Don't forget the bracket around the bundle.
val rsp = out(RGB(8))
}
}
@@ -1882,7 +1882,7 @@ Simple example (RGB/VGA) val PWRITE = Bool()
val PWDATA = Bits(dataWidth bits)
val PRDATA = Bits(dataWidth bits)
- val PSLVERROR = if(useSlaveError) Bool() else null //This wire is created only when useSlaveError is true
+ val PSLVERROR = if(useSlaveError) Bool() else null // This wire is created only when useSlaveError is true
}
// Example of usage :
@@ -1900,7 +1900,7 @@ Simple example (RGB/VGA) selWidth : Int,
useSlaveError : Boolean)
-class APB(val config: APBConfig) extends Bundle { //[val] config, make the configuration public
+class APB(val config: APBConfig) extends Bundle { // [val] config, make the configuration public
val PADDR = UInt(config.addressWidth bits)
val PSEL = Bits(config.selWidth bits)
val PENABLE = Bool()
@@ -1942,7 +1942,7 @@ Simple example (RGB/VGA) this
}
- def asSlave(): this.type = this.asMaster().flip() //Flip reverse all in out configuration.
+ def asSlave(): this.type = this.asMaster().flip() // Flip reverse all in out configuration.
}
// Example of usage
@@ -1963,7 +1963,7 @@ Simple example (RGB/VGA)
An example of an APB bus that implement this IMasterSlave :
-//You need to import spinal.lib._ to use IMasterSlave
+// You need to import spinal.lib._ to use IMasterSlave
import spinal.core._
import spinal.lib._
@@ -1980,14 +1980,14 @@ Simple example (RGB/VGA) val PWRITE = Bool()
val PWDATA = Bits(dataWidth bits)
val PRDATA = Bits(dataWidth bits)
- val PSLVERROR = if(useSlaveError) Bool() else null //This wire is created only when useSlaveError is true
+ val PSLVERROR = if(useSlaveError) Bool() else null // This wire is created only when useSlaveError is true
override def asMaster() : Unit = {
out(PADDR,PSEL,PENABLE,PWRITE,PWDATA)
in(PREADY,PRDATA)
if(useSlaveError) in(PSLVERROR)
}
- //The asSlave is by default the flipped version of asMaster.
+ // The asSlave is by default the flipped version of asMaster.
}
@@ -2034,7 +2034,7 @@ Enum
val stateNext = UartCtrlTxState() // Or UartCtrlTxState(encoding=encodingOfYouChoice)
stateNext := UartCtrlTxState.sIdle
-//You can also import the enumeration to have the visibility on its elements
+// You can also import the enumeration to have the visibility on its elements
import UartCtrlTxState._
stateNext := sIdle
@@ -2112,8 +2112,8 @@ Literals as signal declarationval cond = in Bool()
val red = in UInt(4 bits)
...
-val valid = False //Bool wire which is by default assigned with False
-val value = U"0100" //UInt wire of 4 bits which is by default assigned with 4
+val valid = False // Bool wire which is by default assigned with False
+val value = U"0100" // UInt wire of 4 bits which is by default assigned with 4
when(cond) {
valid := True
value := red
@@ -2172,7 +2172,7 @@ Continuous Assignment Literals as signal declaration
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1319,7 +1319,7 @@ Advanced ones
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Advanced ones/index.html b/master/SpinalHDL/Examples/Advanced ones/index.html
index 0a894f7ad50..ca729565695 100644
--- a/master/SpinalHDL/Examples/Advanced ones/index.html
+++ b/master/SpinalHDL/Examples/Advanced ones/index.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Examples/Advanced ones/jtag.html b/master/SpinalHDL/Examples/Advanced ones/jtag.html
index f1b1cdd7dd8..4fc3cda2ce6 100644
--- a/master/SpinalHDL/Examples/Advanced ones/jtag.html
+++ b/master/SpinalHDL/Examples/Advanced ones/jtag.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1309,7 +1309,7 @@ JTAG state machine val state = RegNext(stateNext) randBoot()
stateNext := state.mux(
- default -> (jtag.tms ? RESET | IDLE), //RESET
+ default -> (jtag.tms ? RESET | IDLE), // RESET
IDLE -> (jtag.tms ? DR_SELECT | IDLE),
IR_SELECT -> (jtag.tms ? RESET | IR_CAPTURE),
IR_CAPTURE -> (jtag.tms ? IR_EXIT1 | IR_SHIFT),
@@ -1389,7 +1389,7 @@ JTAG TAP class interfaceJtagTap implement this abstract interface:
class JtagTap(val jtag: Jtag, ...) extends Area with JtagTapAccess{
+class JtagTap(val jtag: Jtag, ...) extends Area with JtagTapAccess {
...
override def getTdi: Bool = jtag.tdi
override def setTdo(value: Bool): Unit = jtag.tdo := value
@@ -1574,7 +1574,7 @@ Usage demonstration
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.html b/master/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.html
index 72fc13e9be3..da4b3f470c4 100644
--- a/master/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.html
+++ b/master/SpinalHDL/Examples/Advanced ones/memory_mapped_uart.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1314,7 +1314,7 @@ ImplementationApb3UartCtrl component which instantiates a UartCtrl
and creates the memory mapping logic between it and the APB3 bus:
case class Apb3UartCtrl(uartCtrlConfig: UartCtrlGenerics, rxFifoDepth: Int) extends Component {
- val io = new Bundle{
+ val io = new Bundle {
val bus = slave(Apb3(Apb3UartCtrl.getApb3Config))
val uart = master(Uart())
}
@@ -1388,7 +1388,7 @@ Implementation
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Advanced ones/pinesec.html b/master/SpinalHDL/Examples/Advanced ones/pinesec.html
index 89a52376af1..c720c28bf6d 100644
--- a/master/SpinalHDL/Examples/Advanced ones/pinesec.html
+++ b/master/SpinalHDL/Examples/Advanced ones/pinesec.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1270,7 +1270,7 @@ Pinesec
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Advanced ones/slots.html b/master/SpinalHDL/Examples/Advanced ones/slots.html
index a7f20373691..d307a2baacf 100644
--- a/master/SpinalHDL/Examples/Advanced ones/slots.html
+++ b/master/SpinalHDL/Examples/Advanced ones/slots.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1244,7 +1244,7 @@ Introduction
Implementation
This implementation avoid the use of Vec. Instead, it use Area which allow to mix signal, registers and logic definitions in each slot.
-Note that the reader API is for SpinalHDL version comming after 1.9.1
+Note that the reader API is for SpinalHDL version coming after 1.9.1
package spinaldoc.examples.advanced
import spinal.core._
@@ -1252,39 +1252,39 @@ Implementationimport scala.language.postfixOps
case class SlotsDemo(slotsCount : Int) extends Component {
- //...
+ // ...
- //Create the hardware for each slot
- //Note each slot is an Area, not a Bundle
- val slots = for(i <- 0 until slotsCount) yield new Area{
- //Because the slot is an Area, we can define mix signal, registers, logic definitions
- //Here are the registers for each slots
+ // Create the hardware for each slot
+ // Note each slot is an Area, not a Bundle
+ val slots = for(i <- 0 until slotsCount) yield new Area {
+ // Because the slot is an Area, we can define mix signal, registers, logic definitions
+ // Here are the registers for each slots
val valid = RegInit(False)
val address = Reg(UInt(8 bits))
- val age = Reg(UInt(16 bits)) //Will count since how many cycles the slot is valid
+ val age = Reg(UInt(16 bits)) // Will count since how many cycles the slot is valid
- //Here is some hardware behaviour for each slots
- //Implement the age logic
- when(valid){
+ // Here is some hardware behavior for each slots
+ // Implement the age logic
+ when(valid) {
age := age + 1
}
- //removeIt will be used as a slot interface later on
+ // removeIt will be used as a slot interface later on
val removeIt = False
- when(removeIt){
+ when(removeIt) {
valid := False
}
}
- //Logic to allocate a new slot
- val insert = new Area{
- val cmd = Stream(UInt(8 bits)) //interface to issue requests
+ // Logic to allocate a new slot
+ val insert = new Area {
+ val cmd = Stream(UInt(8 bits)) // interface to issue requests
val free = slots.map(!_.valid)
- val freeOh = OHMasking.first(free) //Get the first free slot (on hot mask)
- cmd.ready := free.orR //Only allow cmd when there is a free slot
- when(cmd.fire){
- //slots.onMask(freeOh)(code) will execute the code for each slot where the corresponding freeOh bit is set
+ val freeOh = OHMasking.first(free) // Get the first free slot (on hot mask)
+ cmd.ready := free.orR // Only allow cmd when there is a free slot
+ when(cmd.fire) {
+ // slots.onMask(freeOh)(code) will execute the code for each slot where the corresponding freeOh bit is set
slots.onMask(freeOh){slot =>
slot.valid := True
slot.address := cmd.payload
@@ -1293,21 +1293,21 @@ Implementation }
}
- //Logic to remove the slots which match a given address (assuming there is not more than one match)
- val remove = new Area{
- val cmd = Flow(UInt(8 bits))//interface to issue requests
- val oh = slots.map(s => s.valid && s.address === cmd.payload) //oh meaning "one hot"
- when(cmd.fire){
+ // Logic to remove the slots which match a given address (assuming there is not more than one match)
+ val remove = new Area {
+ val cmd = Flow(UInt(8 bits)) // interface to issue requests
+ val oh = slots.map(s => s.valid && s.address === cmd.payload) // oh meaning "one hot"
+ when(cmd.fire) {
slots.onMask(oh){ slot =>
slot.removeIt := True
}
}
- val reader = slots.reader(oh) //Create a facility to read the slots using "oh" as index
- val age = reader(_.age) //Age of the slot which is selected by "oh"
+ val reader = slots.reader(oh) // Create a facility to read the slots using "oh" as index
+ val age = reader(_.age) // Age of the slot which is selected by "oh"
}
- //...
+ // ...
}
object SlotsDemo extends App {
@@ -1320,7 +1320,7 @@ Implementation
For instance, this kind of slot pattern is used in Tilelink coherency hub to keep track of all ongoing memory probes in flight:
-As well in the DRAM / SDR / DDR memory controller to implement the handeling of multiple memory transactions at once (having multiple precharge / active / read / write running at the same time to improve performances) :
+As well in the DRAM / SDR / DDR memory controller to implement the handling of multiple memory transactions at once (having multiple precharge / active / read / write running at the same time to improve performances) :
As well in the NaxRiscv (out of order CPU) load-store-unit to handle the store-queue / load-queue hardware (a bit too scary to show here in the doc XD)
@@ -1356,7 +1356,7 @@ In practice
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Advanced ones/timer.html b/master/SpinalHDL/Examples/Advanced ones/timer.html
index 00b110b5015..f3a4ad6461f 100644
--- a/master/SpinalHDL/Examples/Advanced ones/timer.html
+++ b/master/SpinalHDL/Examples/Advanced ones/timer.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1405,14 +1405,14 @@ Specificationticks bool can be actived if the corresponding ticksEnable
bit is high.
+Each ticks
bool can be activated if the corresponding ticksEnable
bit is high.
clearsEnable
RW
len(clears)
0
16
-Each clears
bool can be actived if the corresponding clearsEnable
bit is high.
+Each clears
bool can be activated if the corresponding clearsEnable
bit is high.
limit
RW
@@ -1489,7 +1489,7 @@ Usage
}
}
- //Prescaler is very similar to the timer, it mainly integrates a piece of auto reload logic.
+ // Prescaler is very similar to the timer, it mainly integrates a piece of auto reload logic.
val prescaler = Prescaler(width = 16)
val timerA = Timer(width = 32)
@@ -1559,7 +1559,7 @@ Usage
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1354,32 +1354,32 @@ Bundle definition
And now the implementation. The one below is a very simple one without pipelining / multi-threading.
case class PixelSolver(g: PixelSolverGenerics) extends Component {
- val io = new Bundle{
+ val io = new Bundle {
val cmd = slave Stream(PixelTask(g))
val rsp = master Stream(PixelResult(g))
}
import g._
- //Define states
+ // Define states
val x, y = Reg(fixType) init(0)
val iteration = Reg(iterationType) init(0)
- //Do some shared calculation
+ // Do some shared calculation
val xx = x*x
val yy = y*y
val xy = x*y
- //Apply default assignment
+ // Apply default assignment
io.cmd.ready := False
io.rsp.valid := False
io.rsp.iteration := iteration
when(io.cmd.valid) {
- //Is the mandelbrot iteration done ?
+ // Is the mandelbrot iteration done ?
when(xx + yy >= 4.0 || iteration === iterationLimit) {
io.rsp.valid := True
- when(io.rsp.ready){
+ when(io.rsp.ready) {
io.cmd.ready := True
x := 0
y := 0
@@ -1426,7 +1426,7 @@ Component implementation
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Intermediates ones/index.html b/master/SpinalHDL/Examples/Intermediates ones/index.html
index 2b6189d353e..6543c60afea 100644
--- a/master/SpinalHDL/Examples/Intermediates ones/index.html
+++ b/master/SpinalHDL/Examples/Intermediates ones/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1318,7 +1318,7 @@ Intermediates ones
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Intermediates ones/uart.html b/master/SpinalHDL/Examples/Intermediates ones/uart.html
index 386ba2f9933..715bb3c2cc9 100644
--- a/master/SpinalHDL/Examples/Intermediates ones/uart.html
+++ b/master/SpinalHDL/Examples/Intermediates ones/uart.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1368,14 +1368,14 @@ UART configuration enums
Let’s define bundles that will be used as IO elements to setup UartCtrl
.
case class UartCtrlFrameConfig(g: UartCtrlGenerics) extends Bundle {
- val dataLength = UInt(log2Up(g.dataWidthMax) bits) //Bit count = dataLength + 1
+ val dataLength = UInt(log2Up(g.dataWidthMax) bits) // Bit count = dataLength + 1
val stop = UartStopType()
val parity = UartParityType()
}
case class UartCtrlConfig(g: UartCtrlGenerics) extends Bundle {
val frame = UartCtrlFrameConfig(g)
- val clockDivider = UInt(g.clockDividerWidth bits) //see UartCtrlGenerics.clockDividerWidth for calculation
+ val clockDivider = UInt(g.clockDividerWidth bits) // see UartCtrlGenerics.clockDividerWidth for calculation
def setClockDivider(baudrate: Double, clkFrequency: HertzNumber = ClockDomain.current.frequency.getValue): Unit = {
clockDivider := (clkFrequency.toDouble / baudrate / g.rxSamplePerBit).toInt
@@ -1520,8 +1520,8 @@ UartCtrlTx io.write.ready := False
switch(state) {
- is(IDLE){
- when(io.write.valid && clockDivider.tick){
+ is(IDLE) {
+ when(io.write.valid && clockDivider.tick) {
state := START
}
}
@@ -1711,7 +1711,7 @@ UartCtrlRx val parity = Reg(Bool())
val shifter = Reg(io.read.payload)
- //Parity calculation
+ // Parity calculation
when(bitTimer.tick) {
parity := parity ^ sampler.value
}
@@ -1788,7 +1788,7 @@ UartCtrl val tx = new UartCtrlTx(g)
val rx = new UartCtrlRx(g)
- //Clock divider used by RX and TX
+ // Clock divider used by RX and TX
val clockDivider = new Area {
val counter = Reg(UInt(g.clockDividerWidth bits)) init 0
val tick = counter === 0
@@ -1889,8 +1889,8 @@ Example with test benchEach time a byte is received from the UART, it writes it on the leds output.
Every 2000 cycles, it sends the switches input value to the UART.
-case class UartCtrlUsageExample() extends Component{
- val io = new Bundle{
+case class UartCtrlUsageExample() extends Component {
+ val io = new Bundle {
val uart = master(Uart())
val switches = in Bits(8 bits)
val leds = out Bits(8 bits)
@@ -1899,15 +1899,15 @@ Example with test bench val uartCtrl = new UartCtrl()
// set config manually to show that this is still OK
uartCtrl.io.config.setClockDivider(921600)
- uartCtrl.io.config.frame.dataLength := 7 //8 bits
+ uartCtrl.io.config.frame.dataLength := 7 // 8 bits
uartCtrl.io.config.frame.parity := UartParityType.NONE
uartCtrl.io.config.frame.stop := UartStopType.ONE
uartCtrl.io.uart <> io.uart
- //Assign io.led with a register loaded each time a byte is received
+ // Assign io.led with a register loaded each time a byte is received
io.leds := uartCtrl.io.read.toReg()
- //Write the value of switch on the uart each 2000 cycles
+ // Write the value of switch on the uart each 2000 cycles
val write = Stream(Bits(8 bits))
write.valid := CounterFreeRun(2000).willOverflow
write.payload := io.switches
@@ -1975,7 +1975,7 @@ Bonus: Having fun with Stream
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Intermediates ones/vga.html b/master/SpinalHDL/Examples/Intermediates ones/vga.html
index 15c3300d2c1..b35128d1297 100644
--- a/master/SpinalHDL/Examples/Intermediates ones/vga.html
+++ b/master/SpinalHDL/Examples/Intermediates ones/vga.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1444,8 +1444,8 @@ Component and io definition
Horizontal and vertical logic
-The logic that generates horizontal and vertical synchronization signals is quite the same. It kind of resembles ~PWM~. The horizontal one counts up each cycle, while the vertical one use the horizontal syncronization signal as to increment.
-Let’s define HVArea
, which represents one ~PWM~ and then instantiate it two times: one for both horizontal and vertical syncronization.
+The logic that generates horizontal and vertical synchronization signals is quite the same. It kind of resembles ~PWM~. The horizontal one counts up each cycle, while the vertical one use the horizontal synchronization signal as to increment.
+Let’s define HVArea
, which represents one ~PWM~ and then instantiate it two times: one for both horizontal and vertical synchronization.
case class VgaCtrl(rgbConfig: RgbConfig, timingsWidth: Int = 12) extends Component {
...
case class HVArea(timingsHV: VgaTimingsHV, enable: Bool) extends Area {
@@ -1506,19 +1506,19 @@ Bonus
Let’s add a function to VgaCtrl
that can be called from the parent component to feed VgaCtrl
by using this Stream
of Fragment
of RGB.
case class VgaCtrl(rgbConfig: RgbConfig, timingsWidth: Int = 12) extends Component {
...
- def feedWith(that : Stream[Fragment[Rgb]]): Unit ={
+ def feedWith(that : Stream[Fragment[Rgb]]): Unit = {
io.pixels << that.toStreamOfFragment
val error = RegInit(False)
- when(io.error){
+ when(io.error) {
error := True
}
- when(that.isLast){
+ when(that.isLast) {
error := False
}
io.softReset := error
- when(error){
+ when(error) {
that.ready := True
}
}
@@ -1558,7 +1558,7 @@ Bonus
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1393,7 +1393,7 @@ Usage
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1241,22 +1241,22 @@ Carry addera and b
, and a result
output.
At any time, result
will be the sum of a
and b
(combinatorial).
This sum is manually done by a carry adder logic.
-case class CarryAdder(size : Int) extends Component{
+case class CarryAdder(size : Int) extends Component {
val io = new Bundle {
val a = in UInt(size bits)
val b = in UInt(size bits)
- val result = out UInt(size bits) //result = a + b
+ val result = out UInt(size bits) // result = a + b
}
- var c = False //Carry, like a VHDL variable
+ var c = False // Carry, like a VHDL variable
for (i <- 0 until size) {
- //Create some intermediate value in the loop scope.
+ // Create some intermediate value in the loop scope.
val a = io.a(i)
val b = io.b(i)
- //The carry adder's asynchronous logic
+ // The carry adder's asynchronous logic
io.result(i) := a ^ b ^ c
- c \= (a & b) | (a & c) | (b & c); //variable assignment
+ c \= (a & b) | (a & c) | (b & c); // variable assignment
}
}
@@ -1296,7 +1296,7 @@ Carry adder
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/color_summing.html b/master/SpinalHDL/Examples/Simple ones/color_summing.html
index d9fd01188b8..cba25a9f25b 100644
--- a/master/SpinalHDL/Examples/Simple ones/color_summing.html
+++ b/master/SpinalHDL/Examples/Simple ones/color_summing.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1308,7 +1308,7 @@ Color summing
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/counter_with_clear.html b/master/SpinalHDL/Examples/Simple ones/counter_with_clear.html
index 96b4bf1dd79..0bc61f00c1c 100644
--- a/master/SpinalHDL/Examples/Simple ones/counter_with_clear.html
+++ b/master/SpinalHDL/Examples/Simple ones/counter_with_clear.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1286,7 +1286,7 @@ Counter with clear
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/index.html b/master/SpinalHDL/Examples/Simple ones/index.html
index 1271db6cc7d..a69f989b3de 100644
--- a/master/SpinalHDL/Examples/Simple ones/index.html
+++ b/master/SpinalHDL/Examples/Simple ones/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1289,7 +1289,7 @@ Simple ones
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/pll_resetctrl.html b/master/SpinalHDL/Examples/Simple ones/pll_resetctrl.html
index 0a94daa62d3..98fd70c81c6 100644
--- a/master/SpinalHDL/Examples/Simple ones/pll_resetctrl.html
+++ b/master/SpinalHDL/Examples/Simple ones/pll_resetctrl.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1284,13 +1284,13 @@ TopLevel definition val pll = new PLL
pll.io.clkIn := io.clk100Mhz
- //Create a new clock domain named 'core'
+ // Create a new clock domain named 'core'
val coreClockDomain = ClockDomain.internal(
name = "core",
frequency = FixedFrequency(200 MHz) // This frequency specification can be used
) // by coreClockDomain users to do some calculations
- //Drive clock and reset signals of the coreClockDomain previously created
+ // Drive clock and reset signals of the coreClockDomain previously created
coreClockDomain.clock := pll.io.clkOut
coreClockDomain.reset := ResetCtrl.asyncAssertSyncDeassert(
input = io.aReset || ! pll.io.isLocked,
@@ -1298,9 +1298,9 @@ TopLevel definition )
}
- //Create a ClockingArea which will be under the effect of the clkCtrl.coreClockDomain
+ // Create a ClockingArea which will be under the effect of the clkCtrl.coreClockDomain
val core = new ClockingArea(clkCtrl.coreClockDomain) {
- //Do your stuff which use coreClockDomain here
+ // Do your stuff which use coreClockDomain here
val counter = Reg(UInt(4 bits)) init 0
counter := counter + 1
io.result := counter
@@ -1340,7 +1340,7 @@ TopLevel definition
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/carry_adder.html b/master/SpinalHDL/Examples/Simple ones/carry_adder.html
index 26958a129e3..8628df4fd0f 100644
--- a/master/SpinalHDL/Examples/Simple ones/carry_adder.html
+++ b/master/SpinalHDL/Examples/Simple ones/carry_adder.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Examples/Simple ones/apb3.html b/master/SpinalHDL/Examples/Simple ones/apb3.html
index 0c81b235871..aa18deb56a1 100644
--- a/master/SpinalHDL/Examples/Simple ones/apb3.html
+++ b/master/SpinalHDL/Examples/Simple ones/apb3.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Examples/Simple ones/rgb_to_gray.html b/master/SpinalHDL/Examples/Simple ones/rgb_to_gray.html
index 7d661a7b145..8c3c3c49fe1 100644
--- a/master/SpinalHDL/Examples/Simple ones/rgb_to_gray.html
+++ b/master/SpinalHDL/Examples/Simple ones/rgb_to_gray.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1275,7 +1275,7 @@ RGB to graycase class RgbToGray() extends Component {
- val io = new Bundle{
+ val io = new Bundle {
val clear = in Bool()
val r,g,b = in UInt(8 bits)
@@ -1297,7 +1297,7 @@ RGB to gray io.wr := True
io.data := gray
- when(io.clear){
+ when(io.clear) {
gray := 0
address.clear()
io.wr := False
@@ -1336,7 +1336,7 @@ RGB to gray
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Examples/Simple ones/sinus_rom.html b/master/SpinalHDL/Examples/Simple ones/sinus_rom.html
index eed7111f9ff..dac4c131ab9 100644
--- a/master/SpinalHDL/Examples/Simple ones/sinus_rom.html
+++ b/master/SpinalHDL/Examples/Simple ones/sinus_rom.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1371,7 +1371,7 @@
diff --git a/master/SpinalHDL/Examples/index.html b/master/SpinalHDL/Examples/index.html
index b7981c80f75..c22ee4fbf01 100644
--- a/master/SpinalHDL/Examples/index.html
+++ b/master/SpinalHDL/Examples/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1263,7 +1263,7 @@ Getting startedobject MyMainObject {
def main(args: Array[String]) {
- SpinalVhdl(new TheComponentThatIWantToGenerate(constructionArguments)) //Or SpinalVerilog
+ SpinalVhdl(new TheComponentThatIWantToGenerate(constructionArguments)) // Or SpinalVerilog
}
}
@@ -1300,7 +1300,7 @@ Getting started
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Foreword/index.html b/master/SpinalHDL/Foreword/index.html
index aea7b64968e..65fa340a728 100644
--- a/master/SpinalHDL/Foreword/index.html
+++ b/master/SpinalHDL/Foreword/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1243,7 +1243,7 @@
For conciseness, let’s assume that SystemVerilog is a recent revision of
Verilog.
When reading this, we should not underestimate how much our attachment for our
-favourite HDL will bias our judgement.
+favorite HDL will bias our judgement.
Why moving away from traditional HDL
@@ -1393,7 +1393,7 @@ VHDL and Verilog are so verboseval vgaCtrl = Axi4VgaCtrl(vgaCtrlConfig)
// Instantiate an APB3 decoder
-// - Drived by the apbBridge
+// - Driven by the apbBridge
// - Map each peripheral in a memory region
val apbDecoder = Apb3Decoder(
master = apbBridge.io.apb,
@@ -1457,7 +1457,7 @@ Meta Hardware Description capabilities// Define a new state machine
-val fsm = new StateMachine{
+val fsm = new StateMachine {
// Define all states
val stateA, stateB, stateC = new State
@@ -1467,14 +1467,14 @@ Meta Hardware Description capabilities // Define a register used into the state machine
val counter = Reg(UInt(8 bits)) init (0)
- // Define the state machine behaviour for each state
+ // Define the state machine behavior for each state
stateA.whenIsActive (goto(stateB))
stateB.onEntry(counter := 0)
stateB.onExit(io.result := True)
stateB.whenIsActive {
counter := counter + 1
- when(counter === 4){
+ when(counter === 4) {
goto(stateC)
}
}
@@ -1524,7 +1524,7 @@ Meta Hardware Description capabilities
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Formal verification/index.html b/master/SpinalHDL/Formal verification/index.html
index 1413740d8cb..a94729640b6 100644
--- a/master/SpinalHDL/Formal verification/index.html
+++ b/master/SpinalHDL/Formal verification/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1276,9 +1276,9 @@ External assertionsimport spinal.core._
-//Here is our DUT
+// Here is our DUT
class LimitedCounter extends Component {
- //The value register will always be between [2:10]
+ // The value register will always be between [2:10]
val value = Reg(UInt(4 bits)) init(2)
when(value < 10) {
value := value + 1
@@ -1339,7 +1339,7 @@ External stimulusclass LimitedCounterInc extends Component {
- //Only increment the value when the inc input is set
+ // Only increment the value when the inc input is set
val inc = in Bool()
val value = Reg(UInt(4 bits)) init(2)
when(inc && value < 10) {
@@ -1402,7 +1402,7 @@ Assuming memory content // Allow the write anything but value 1 in the ram
anyseq(dut.write)
- clockDomain.withoutReset() { //As the memory write can occur during reset, we need to ensure the assume apply there too
+ clockDomain.withoutReset() { // As the memory write can occur during reset, we need to ensure the assume apply there too
assume(dut.write.data =/= 1)
}
@@ -1429,7 +1429,7 @@ Assertions / clock / reset
Specifying the initial value of a signal
-For instance, for the reset signal of the current clockdomain (usefull at the top)
+For instance, for the reset signal of the current clockdomain (useful at the top)
ClockDomain.current.readResetWire initial(False)
@@ -1445,12 +1445,12 @@ Memory content (Mem)// Manual access
for(i <- 0 until dut.ram.wordCount) {
- assumeInitial(dut.ram(i) =/= X) //No occurence of the word X
+ assumeInitial(dut.ram(i) =/= X) // No occurrence of the word X
}
-assumeInitial(!dut.ram.formalContains(X)) //No occurence of the word X
+assumeInitial(!dut.ram.formalContains(X)) // No occurrence of the word X
-assumeInitial(dut.ram.formalCount(X) === 1) //only one occurence of the word X
+assumeInitial(dut.ram.formalCount(X) === 1) // only one occurrence of the word X
@@ -1525,7 +1525,7 @@ Formal primitivespastValidAfterReset()
Bool
-Simliar to pastValid
, where only difference is that this would take reset into account. Can be understood as pastValid & past(!reset)
.
+Similar to pastValid
, where only difference is that this would take reset into account. Can be understood as pastValid & past(!reset)
.
diff --git a/master/SpinalHDL/Examples/Intermediates ones/fractal.html b/master/SpinalHDL/Examples/Intermediates ones/fractal.html
index d638133c420..73e0cc520b0 100644
--- a/master/SpinalHDL/Examples/Intermediates ones/fractal.html
+++ b/master/SpinalHDL/Examples/Intermediates ones/fractal.html
@@ -420,7 +420,7 @@
@@ -1551,7 +1551,7 @@ For Component
For interfaces implement IMasterSlave
There could be functions in name formalAssertsMaster
, formalAssertsSlave
, formalAssumesMaster
, formalAssumesSlave
or formalCovers
.
-Master/Slave are target interface type, so that formalAssertsMaster
can be understand as “formal verfication assertions for master interface”.
+Master/Slave are target interface type, so that formalAssertsMaster
can be understand as “formal verification assertions for master interface”.
@@ -1585,7 +1585,7 @@ For interfaces implement IMasterSlave
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Cheatsheets/core.html b/master/SpinalHDL/Getting Started/Cheatsheets/core.html
index 9fa9fd7ca9f..36b956a589c 100644
--- a/master/SpinalHDL/Getting Started/Cheatsheets/core.html
+++ b/master/SpinalHDL/Getting Started/Cheatsheets/core.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1274,7 +1274,7 @@ Core
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1275,7 +1275,7 @@ Cheatsheets
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Cheatsheets/index.html b/master/SpinalHDL/Getting Started/Cheatsheets/index.html
index a8c7654c264..6dd979ff761 100644
--- a/master/SpinalHDL/Getting Started/Cheatsheets/index.html
+++ b/master/SpinalHDL/Getting Started/Cheatsheets/index.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Getting Started/Cheatsheets/lib.html b/master/SpinalHDL/Getting Started/Cheatsheets/lib.html
index 0f3d7a03c25..26c5e8ee557 100644
--- a/master/SpinalHDL/Getting Started/Cheatsheets/lib.html
+++ b/master/SpinalHDL/Getting Started/Cheatsheets/lib.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1274,7 +1274,7 @@ Lib
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1274,7 +1274,7 @@ Symbolic
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Cheatsheets/symbolic.html b/master/SpinalHDL/Getting Started/Cheatsheets/symbolic.html
index c9a3094dbb6..47c0f1b492a 100644
--- a/master/SpinalHDL/Getting Started/Cheatsheets/symbolic.html
+++ b/master/SpinalHDL/Getting Started/Cheatsheets/symbolic.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Getting Started/Help for VHDL people/index.html b/master/SpinalHDL/Getting Started/Help for VHDL people/index.html
index a482b9a6413..2b2870399fa 100644
--- a/master/SpinalHDL/Getting Started/Help for VHDL people/index.html
+++ b/master/SpinalHDL/Getting Started/Help for VHDL people/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1299,7 +1299,7 @@ Help for VHDL people
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1633,7 +1633,7 @@ Meta hardware description
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.html b/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.html
index 5d4b0e1f0f7..ee437d7f0c0 100644
--- a/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.html
+++ b/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_comp.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.html b/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.html
index 025227a8e7b..380abc25621 100644
--- a/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.html
+++ b/master/SpinalHDL/Getting Started/Help for VHDL people/vhdl_perspective.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1243,7 +1243,7 @@ Entity and architectureIn SpinalHDL, a VHDL entity and architecture are both defined inside a Component
.
Here is an example of a component which has 3 inputs (a
, b
, c
) and an output (result
). This component also has an offset
construction parameter (like a VHDL generic).
case class MyComponent(offset: Int) extends Component {
- val io = new Bundle{
+ val io = new Bundle {
val a, b, c = in UInt(8 bits)
val result = out UInt(8 bits)
}
@@ -1445,7 +1445,7 @@ Process blocks
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Install and setup.html b/master/SpinalHDL/Getting Started/Install and setup.html
index a35a3fb5573..ab3a240469d 100644
--- a/master/SpinalHDL/Getting Started/Install and setup.html
+++ b/master/SpinalHDL/Getting Started/Install and setup.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1307,7 +1307,7 @@ Linux Installation xzf <file that you downloaded>
-
-To use oss-cad-suite in a shell you need to load it’s environment, e.g. via souce <path to oss-cad-suite>/environment
.
+To use oss-cad-suite in a shell you need to load it’s environment, e.g. via source <path to oss-cad-suite>/environment
.
Mac OS X Installation
@@ -1558,7 +1558,7 @@ Using Spinal on SpinalHDL code
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/IntelliJ.html b/master/SpinalHDL/Getting Started/IntelliJ.html
index ea299df4c1d..51d936e31d6 100644
--- a/master/SpinalHDL/Getting Started/IntelliJ.html
+++ b/master/SpinalHDL/Getting Started/IntelliJ.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1277,7 +1277,7 @@
diff --git a/master/SpinalHDL/Getting Started/SBT.html b/master/SpinalHDL/Getting Started/SBT.html
index 3e8d17236b2..870daa27082 100644
--- a/master/SpinalHDL/Getting Started/SBT.html
+++ b/master/SpinalHDL/Getting Started/SBT.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1339,7 +1339,7 @@
diff --git a/master/SpinalHDL/Getting Started/Scala Guide/basics.html b/master/SpinalHDL/Getting Started/Scala Guide/basics.html
index 522dc8f952b..b719aeaf253 100644
--- a/master/SpinalHDL/Getting Started/Scala Guide/basics.html
+++ b/master/SpinalHDL/Getting Started/Scala Guide/basics.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1291,7 +1291,7 @@ Variablesvar number = 0 //The type of 'number' is inferred as an Int during compilation.
+var number = 0 // The type of 'number' is inferred as an Int during compilation.
However, it’s not very common to use var
in Scala. Instead, constant values defined by val
are often used:
@@ -1372,7 +1372,7 @@ Apply
}
val array = new Array()
-val value = array(4) //array(4) is interpreted as array.apply(4) and will return 7
+val value = array(4) // array(4) is interpreted as array.apply(4) and will return 7
This concept is also applicable for Scala object
(static)
@@ -1520,7 +1520,7 @@ Templates / Type parameterization
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Scala Guide/coding_conventions.html b/master/SpinalHDL/Getting Started/Scala Guide/coding_conventions.html
index 3aa678ce854..ea3da645ad8 100644
--- a/master/SpinalHDL/Getting Started/Scala Guide/coding_conventions.html
+++ b/master/SpinalHDL/Getting Started/Scala Guide/coding_conventions.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1409,7 +1409,7 @@ Parameters
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Scala Guide/index.html b/master/SpinalHDL/Getting Started/Scala Guide/index.html
index 090a350570c..d6f4f96da97 100644
--- a/master/SpinalHDL/Getting Started/Scala Guide/index.html
+++ b/master/SpinalHDL/Getting Started/Scala Guide/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1278,7 +1278,7 @@ Introduction
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/Scala Guide/interaction.html b/master/SpinalHDL/Getting Started/Scala Guide/interaction.html
index 9674ad6cc71..13dccd93bff 100644
--- a/master/SpinalHDL/Getting Started/Scala Guide/interaction.html
+++ b/master/SpinalHDL/Getting Started/Scala Guide/interaction.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1390,7 +1390,7 @@ Scala elaboration capabilities (if, for, functional programming)
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Getting Started/VSCodium.html b/master/SpinalHDL/Getting Started/VSCodium.html
index 3773186dacf..c5af6b741b5 100644
--- a/master/SpinalHDL/Getting Started/VSCodium.html
+++ b/master/SpinalHDL/Getting Started/VSCodium.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1284,7 +1284,7 @@
diff --git a/master/SpinalHDL/Getting Started/index.html b/master/SpinalHDL/Getting Started/index.html
index 97932cb6f16..57faddd1abe 100644
--- a/master/SpinalHDL/Getting Started/index.html
+++ b/master/SpinalHDL/Getting Started/index.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1282,7 +1282,7 @@ Getting Started
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Introduction/A simple example.html b/master/SpinalHDL/Introduction/A simple example.html
index 666fc7d337d..e758683cb81 100644
--- a/master/SpinalHDL/Introduction/A simple example.html
+++ b/master/SpinalHDL/Introduction/A simple example.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1377,7 +1377,7 @@ Internal logic
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Introduction/Contributing.html b/master/SpinalHDL/Introduction/Contributing.html
index b97bf2eed14..a59491bb0f9 100644
--- a/master/SpinalHDL/Introduction/Contributing.html
+++ b/master/SpinalHDL/Introduction/Contributing.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1274,7 +1274,7 @@ Contributing
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Introduction/Getting in touch.html b/master/SpinalHDL/Introduction/Getting in touch.html
index 75863ac04aa..d2a60c5cd24 100644
--- a/master/SpinalHDL/Introduction/Getting in touch.html
+++ b/master/SpinalHDL/Introduction/Getting in touch.html
@@ -420,7 +420,7 @@
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
Note that the following lists are very incompletes.
+Note that the following lists are very incomplete.
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
- Version: master git~4b921f7d90 2024-07-29 + Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Legacy/index.html b/master/SpinalHDL/Legacy/index.html index 0a52d115e85..56176e143f8 100644 --- a/master/SpinalHDL/Legacy/index.html +++ b/master/SpinalHDL/Legacy/index.html @@ -420,7 +420,7 @@import spinal.lib.soc.pinsec._
-object PinsecMain{
+object PinsecMain {
def main(args: Array[String]) {
SpinalVhdl(new Pinsec(100 MHz))
SpinalVerilog(new Pinsec(100 MHz))
@@ -1337,7 +1337,7 @@ Generate the RTL
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
val io = new Bundle{
- //Clocks / reset
+val io = new Bundle {
+ // Clocks / reset
val asyncReset = in Bool()
val axiClk = in Bool()
val vgaClk = in Bool()
- //Main components IO
+ // Main components IO
val jtag = slave(Jtag())
val sdram = master(SdramInterface(IS42x320D.layout))
- //Peripherals IO
- val gpioA = master(TriStateArray(32 bits)) //Each pin has an individual output enable control
+ // Peripherals IO
+ val gpioA = master(TriStateArray(32 bits)) // Each pin has an individual output enable control
val gpioB = master(TriStateArray(32 bits))
val uart = master(Uart())
val vga = master(Vga(RgbConfig(5,6,5)))
@@ -1326,23 +1326,23 @@ Reset controller val axiResetUnbuffered = False
val coreResetUnbuffered = False
- //Implement an counter to keep the reset axiResetOrder high 64 cycles
+ // Implement an counter to keep the reset axiResetOrder high 64 cycles
// Also this counter will automaticly do a reset when the system boot.
val axiResetCounter = Reg(UInt(6 bits)) init(0)
- when(axiResetCounter =/= U(axiResetCounter.range -> true)){
+ when(axiResetCounter =/= U(axiResetCounter.range -> true)) {
axiResetCounter := axiResetCounter + 1
axiResetUnbuffered := True
}
- when(BufferCC(io.asyncReset)){
+ when(BufferCC(io.asyncReset)) {
axiResetCounter := 0
}
- //When an axiResetOrder happen, the core reset will as well
- when(axiResetUnbuffered){
+ // When an axiResetOrder happen, the core reset will as well
+ when(axiResetUnbuffered) {
coreResetUnbuffered := True
}
- //Create all reset used later in the design
+ // Create all reset used later in the design
val axiReset = RegNext(axiResetUnbuffered)
val coreReset = RegNext(coreResetUnbuffered)
val vgaReset = BufferCC(axiResetUnbuffered)
@@ -1356,7 +1356,7 @@ Clock domain setup for each systemval axiClockDomain = ClockDomain(
clock = io.axiClk,
reset = resetCtrl.axiReset,
- frequency = FixedFrequency(50 MHz) //The frequency information is used by the SDRAM controller
+ frequency = FixedFrequency(50 MHz) // The frequency information is used by the SDRAM controller
)
val coreClockDomain = ClockDomain(
@@ -1376,7 +1376,7 @@ Clock domain setup for each systemaxi clocked area :
val axi = new ClockingArea(axiClockDomain) {
- //Here will come the rest of Pinsec
+ // Here will come the rest of Pinsec
}
@@ -1410,8 +1410,8 @@ RISCV CPU dynamicBranchPredictorCacheSizeLog2 = 7
)
- //The CPU has a systems of plugin which allow to add new feature into the core.
- //Those extension are not directly implemented into the core, but are kind of additive logic patch defined in a separated area.
+ // The CPU has a systems of plugin which allow to add new feature into the core.
+ // Those extension are not directly implemented into the core, but are kind of additive logic patch defined in a separated area.
coreConfig.add(new MulExtension)
coreConfig.add(new DivExtension)
coreConfig.add(new BarrelShifterFullExtension)
@@ -1419,14 +1419,14 @@ RISCV CPU val iCacheConfig = InstructionCacheConfig(
cacheSize =4096,
bytePerLine =32,
- wayCount = 1, //Can only be one for the moment
+ wayCount = 1, // Can only be one for the moment
wrappedMemAccess = true,
addressWidth = 32,
cpuDataWidth = 32,
memDataWidth = 32
)
- //There is the instantiation of the CPU by using all those construction parameters
+ // There is the instantiation of the CPU by using all those construction parameters
new RiscvAxi4(
coreConfig = coreConfig,
iCacheConfig = iCacheConfig,
@@ -1446,7 +1446,7 @@ On chip RAMval ram = Axi4SharedOnChipRam(
dataWidth = 32,
byteCount = 4 KiB,
- idWidth = 4 //Specify the AXI4 ID width.
+ idWidth = 4 // Specify the AXI4 ID width.
)
@@ -1563,9 +1563,9 @@ VGA controllerval vgaCtrlConfig = Axi4VgaCtrlGenerics(
axiAddressWidth = 32,
axiDataWidth = 32,
- burstLength = 8, //In Axi words
- frameSizeMax = 2048*1512*2, //In byte
- fifoSize = 512, //In axi words
+ burstLength = 8, // In Axi words
+ frameSizeMax = 2048*1512*2, // In byte
+ fifoSize = 512, // In axi words
rgbConfig = RgbConfig(5,6,5),
vgaClock = vgaClockDomain
)
@@ -1599,7 +1599,7 @@ AXI4 to APB3 bridge
AXI4 crossbar
The AXI4 crossbar that interconnect AXI4 masters and slaves together is generated by using an factory.
-The concept of this factory is to create it, then call many function on it to configure it, and finaly call
+The concept of this factory is to create it, then call many function on it to configure it, and finally call
the build
function to ask the factory to generate the corresponding hardware :
val axiCrossbar = Axi4CrossbarFactory()
// Where you will have to call function the the axiCrossbar factory to populate its configuration
@@ -1635,7 +1635,7 @@ AXI4 crossbarSome documentation could be find there. In short, it’s just some pipelining and interconnection stuff.
-//Pipeline the connection between the crossbar and the apbBridge.io.axi
+// Pipeline the connection between the crossbar and the apbBridge.io.axi
axiCrossbar.addPipelining(apbBridge.io.axi,(crossbar,bridge) => {
crossbar.sharedCmd.halfPipe() >> bridge.sharedCmd
crossbar.writeData.halfPipe() >> bridge.writeData
@@ -1643,7 +1643,7 @@ AXI4 crossbar crossbar.readRsp << bridge.readRsp
})
-//Pipeline the connection between the crossbar and the sdramCtrl.io.axi
+// Pipeline the connection between the crossbar and the sdramCtrl.io.axi
axiCrossbar.addPipelining(sdramCtrl.io.axi,(crossbar,ctrl) => {
crossbar.sharedCmd.halfPipe() >> ctrl.sharedCmd
crossbar.writeData >/-> ctrl.writeData
@@ -1687,7 +1687,7 @@ Misc
core.io.interrupt(1) := timerCtrl.io.interrupt
core.io.debugResetIn := resetCtrl.axiReset
-when(core.io.debugResetOut){
+when(core.io.debugResetOut) {
resetCtrl.coreResetUnbuffered := True
}
@@ -1724,7 +1724,7 @@ Misc
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1321,7 +1321,7 @@ pinsec
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1242,7 +1242,7 @@
Note
This page only documents the SoC implemented with the first generation of RISC-V CPU created in SpinalHDL.
This page does not document the VexRiscV CPU, which is the second generation of this SoC (and CPU) is available
-here and offers better perforance/area/features.
+here and offers better performance/area/features.
diff --git a/master/SpinalHDL/Legacy/pinsec/introduction.html b/master/SpinalHDL/Legacy/pinsec/introduction.html
index cb13a3370ce..9c36ea061b8 100644
--- a/master/SpinalHDL/Legacy/pinsec/introduction.html
+++ b/master/SpinalHDL/Legacy/pinsec/introduction.html
@@ -420,7 +420,7 @@
diff --git a/master/SpinalHDL/Legacy/pinsec/index.html b/master/SpinalHDL/Legacy/pinsec/index.html
index 4bc5b7ede4e..ad453bed915 100644
--- a/master/SpinalHDL/Legacy/pinsec/index.html
+++ b/master/SpinalHDL/Legacy/pinsec/index.html
@@ -420,7 +420,7 @@
Introduction
@@ -1302,7 +1302,7 @@ Board support
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Legacy/pinsec/software.html b/master/SpinalHDL/Legacy/pinsec/software.html
index 0c7cf8e8b96..0cadd9773fd 100644
--- a/master/SpinalHDL/Legacy/pinsec/software.html
+++ b/master/SpinalHDL/Legacy/pinsec/software.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1297,7 +1297,7 @@ OpenOCD/GDB/Eclipse configuration
- Version: master git~4b921f7d90 2024-07-29
+ Version: master git~c1ccbe4bce 2024-08-19
diff --git a/master/SpinalHDL/Legacy/riscv.html b/master/SpinalHDL/Legacy/riscv.html
index 9e39d76e401..050631e1808 100644
--- a/master/SpinalHDL/Legacy/riscv.html
+++ b/master/SpinalHDL/Legacy/riscv.html
@@ -420,7 +420,7 @@
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1296,7 +1296,7 @@ How to debug
Documentation
-Optimise instruction/data caches FMax by moving line hit condition forward into combinatorial paths.
+Optimize instruction/data caches FMax by moving line hit condition forward into combinatorial paths.
Contact spinalhdl@gmail.com for more information
@@ -1331,7 +1331,7 @@ Todo
- Composite in a function
- Composite chains
- Composite in a Bundle’s function
-- Unamed signal handling
+- Unnamed signal handling
- Verilog expression splitting
- Verilog long expression splitting
- When statement condition
@@ -731,27 +731,27 @@
- Bus
@@ -1238,8 +1238,8 @@
AHB-Lite3
-
-Configuration and instanciation
+
+Configuration and instantiation
First each time you want to create a AHB-Lite3 bus, you will need a configuration object. This configuration object is an AhbLite3Config
and has following arguments :
diff --git a/master/SpinalHDL/Libraries/Bus/amba3/ahblite3.html b/master/SpinalHDL/Libraries/Bus/amba3/ahblite3.html
index 5847b8a0157..777e4ead0cc 100644
--- a/master/SpinalHDL/Libraries/Bus/amba3/ahblite3.html
+++ b/master/SpinalHDL/Libraries/Bus/amba3/ahblite3.html
@@ -420,7 +420,7 @@