diff --git a/arduino/Embedded_Template_Library.h b/arduino/Embedded_Template_Library.h index b7ac4789b..5959207e9 100644 --- a/arduino/Embedded_Template_Library.h +++ b/arduino/Embedded_Template_Library.h @@ -77,4 +77,4 @@ #endif #endif -#endif \ No newline at end of file +#endif diff --git a/examples/platformio/src/main.cpp b/examples/platformio/src/main.cpp index ce97ba7cb..66295c17d 100644 --- a/examples/platformio/src/main.cpp +++ b/examples/platformio/src/main.cpp @@ -139,4 +139,4 @@ int main() mouse_driver.Wheel_Event_Up(); return 0; -} \ No newline at end of file +} diff --git a/include/etl/atomic/atomic_clang_sync.h b/include/etl/atomic/atomic_clang_sync.h index 3e57425b7..23e9360c0 100644 --- a/include/etl/atomic/atomic_clang_sync.h +++ b/include/etl/atomic/atomic_clang_sync.h @@ -31,4 +31,4 @@ SOFTWARE. #include "atomic_gcc_sync.h" -#endif \ No newline at end of file +#endif diff --git a/include/etl/byte.h b/include/etl/byte.h index 0a5ca5539..bfd39e535 100644 --- a/include/etl/byte.h +++ b/include/etl/byte.h @@ -320,4 +320,4 @@ namespace etl } -#endif \ No newline at end of file +#endif diff --git a/include/etl/experimental/mem_cast.h b/include/etl/experimental/mem_cast.h index d58403210..67d1e019a 100644 --- a/include/etl/experimental/mem_cast.h +++ b/include/etl/experimental/mem_cast.h @@ -75,4 +75,4 @@ class uni_type_ptr private: char* pbuffer; -}; \ No newline at end of file +}; diff --git a/include/etl/generators/generate.bat b/include/etl/generators/generate.bat index 2f3f7948a..b5eed6994 100644 --- a/include/etl/generators/generate.bat +++ b/include/etl/generators/generate.bat @@ -6,4 +6,4 @@ python -m cogapp -d -e -o../type_traits.h -DIsOneOf=16 type_traits_generator.h python -m cogapp -d -e -o../type_lookup.h -DNTypes=16 type_lookup_generator.h python -m cogapp -d -e -o../type_select.h -DNTypes=16 type_select_generator.h python -m cogapp -d -e -o../message_packet.h -DHandlers=16 message_packet_generator.h -python -m cogapp -d -e -o../variant_pool.h -DNTypes=16 variant_pool_generator.h \ No newline at end of file +python -m cogapp -d -e -o../variant_pool.h -DNTypes=16 variant_pool_generator.h diff --git a/include/etl/multi_range.h b/include/etl/multi_range.h index 862372e45..44c021101 100644 --- a/include/etl/multi_range.h +++ b/include/etl/multi_range.h @@ -526,4 +526,4 @@ namespace etl }; } -#endif \ No newline at end of file +#endif diff --git a/scripts/generator_test.bat b/scripts/generator_test.bat index 467773c62..28b50c235 100644 --- a/scripts/generator_test.bat +++ b/scripts/generator_test.bat @@ -1 +1 @@ -python .\generator_test.py \ No newline at end of file +python .\generator_test.py diff --git a/scripts/update_release.bat b/scripts/update_release.bat index b2c8faa9a..26baad0a1 100644 --- a/scripts/update_release.bat +++ b/scripts/update_release.bat @@ -1 +1 @@ -python .\update_release.py \ No newline at end of file +python .\update_release.py diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt index 84988e2b9..b73a50e26 100644 --- a/test/CMakeLists.txt +++ b/test/CMakeLists.txt @@ -387,4 +387,3 @@ add_test(etl_unit_tests etl_tests) # as they appear from UnitTest++ add_custom_target(test_verbose COMMAND ${CMAKE_CTEST_COMMAND} --verbose) - \ No newline at end of file diff --git a/test/UnitTest++/RequiredCheckTestReporter.cpp b/test/UnitTest++/RequiredCheckTestReporter.cpp index 7c21d2012..3bf41e0c6 100644 --- a/test/UnitTest++/RequiredCheckTestReporter.cpp +++ b/test/UnitTest++/RequiredCheckTestReporter.cpp @@ -23,4 +23,4 @@ namespace UnitTest { { return m_continue++ == 0; } -} \ No newline at end of file +} diff --git a/test/UnitTest++/UnitTest++.h b/test/UnitTest++/UnitTest++.h index 1a9fe86a4..931cf146e 100644 --- a/test/UnitTest++/UnitTest++.h +++ b/test/UnitTest++/UnitTest++.h @@ -1 +1 @@ -#include "UnitTestPP.h" \ No newline at end of file +#include "UnitTestPP.h" diff --git a/test/main.cpp b/test/main.cpp index 5323c462e..fd6aaf87d 100644 --- a/test/main.cpp +++ b/test/main.cpp @@ -30,4 +30,4 @@ SOFTWARE. int main() { return UnitTest::RunAllTests(); -} \ No newline at end of file +} diff --git a/test/test_delegate_service.cpp b/test/test_delegate_service.cpp index b68b1fa65..27071ac81 100644 --- a/test/test_delegate_service.cpp +++ b/test/test_delegate_service.cpp @@ -334,4 +334,4 @@ namespace }; } -#endif \ No newline at end of file +#endif diff --git a/test/test_delegate_service_cpp03.cpp b/test/test_delegate_service_cpp03.cpp index 9b115e7ab..f8d79b85d 100644 --- a/test/test_delegate_service_cpp03.cpp +++ b/test/test_delegate_service_cpp03.cpp @@ -334,4 +334,4 @@ namespace }; } -#endif \ No newline at end of file +#endif diff --git a/test/test_enum_type.cpp b/test/test_enum_type.cpp index 21e52d4fe..f51f4ead8 100644 --- a/test/test_enum_type.cpp +++ b/test/test_enum_type.cpp @@ -151,4 +151,4 @@ namespace CHECK_EQUAL(expected, actual.get_enum()); } }; -} \ No newline at end of file +} diff --git a/test/test_unaligned_type_constexpr.cpp b/test/test_unaligned_type_constexpr.cpp index 10164f60b..13cb2234c 100644 --- a/test/test_unaligned_type_constexpr.cpp +++ b/test/test_unaligned_type_constexpr.cpp @@ -641,4 +641,4 @@ namespace }; } -#endif \ No newline at end of file +#endif diff --git a/test/test_user_type.cpp b/test/test_user_type.cpp index 0cacc568d..50523ad47 100644 --- a/test/test_user_type.cpp +++ b/test/test_user_type.cpp @@ -221,4 +221,4 @@ namespace CHECK_EQUAL(expected, value); } }; -} \ No newline at end of file +} diff --git a/test/unit_test_framework.h b/test/unit_test_framework.h index 551378746..798a6eaec 100644 --- a/test/unit_test_framework.h +++ b/test/unit_test_framework.h @@ -31,4 +31,4 @@ SOFTWARE. #include "UnitTest++/UnitTest++.h" -#endif \ No newline at end of file +#endif